Welcome to mirrors.dotsrc.org

All our mirrors of open source software are available via http, https, ftp and an onion service. More information about our mirrors including statistics and contact information is available on our mirror info pages.

For information about dotsrc.org and our other services please go to our website.

Index of /mirrors/mirrors/alpine/edge/testing/armv7/

File Name  ↓ File Size  ↓ Date  ↓ 
Parent directory/--
apache2-mod-authnz-external-3.3.3-r0.apk6.9 KiB2020-07-22 23:58:12
apache2-mod-realdoc-1-r1.apk4.6 KiB2020-07-22 23:58:12
apk-readme-0.1-r1.apk1.3 KiB2020-07-22 23:58:12
apache2-mod-authnz-external-doc-3.3.3-r0.apk10.0 KiB2020-07-22 23:58:12
autoconf-policy-0.1-r0.apk5.5 KiB2020-07-22 23:58:14
blip-doc-0.10-r0.apk29.6 KiB2020-07-22 23:58:15
blip-0.10-r0.apk15.3 KiB2020-07-22 23:58:15
cpiped-0.1.0-r0.apk6.5 KiB2020-07-22 23:58:38
colormake-doc-0.9.20170221-r0.apk2.7 KiB2020-07-22 23:58:38
colormake-0.9.20170221-r0.apk4.0 KiB2020-07-22 23:58:38
font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk315.8 KiB2020-07-22 23:58:46
font-fantasque-sans-doc-1.8.0-r0.apk5.5 KiB2020-07-22 23:58:46
font-fantasque-sans-noloopk-1.8.0-r0.apk315.8 KiB2020-07-22 23:58:46
font-fantasque-sans-normal-1.8.0-r0.apk315.8 KiB2020-07-22 23:58:46
font-fantasque-sans-1.8.0-r0.apk1.2 KiB2020-07-22 23:58:46
font-fantasque-sans-largelineheight-1.8.0-r0.apk315.8 KiB2020-07-22 23:58:46
hping3-20051105-r4.apk62.8 KiB2020-07-22 23:59:15
hping3-doc-20051105-r4.apk16.7 KiB2020-07-22 23:59:15
libnxml-0.18.3-r0.apk17.4 KiB2020-07-22 23:59:38
libnxml-dev-0.18.3-r0.apk26.2 KiB2020-07-22 23:59:38
libvdpau-va-gl-0.4.2-r0.apk47.3 KiB2020-07-22 23:59:44
lua5.3-psl-0.3-r0.apk5.2 KiB2020-07-22 23:59:49
lua-lcurses-9.0.0-r0.apk1.2 KiB2020-07-22 23:59:49
lua5.1-psl-0.3-r0.apk5.2 KiB2020-07-22 23:59:49
lua5.1-lcurses-9.0.0-r0.apk21.2 KiB2020-07-22 23:59:49
lua-psl-0.3-r0.apk1.1 KiB2020-07-22 23:59:49
lua5.1-xml-1.1.3-r1.apk20.7 KiB2020-07-22 23:59:49
lua5.2-xml-1.1.3-r1.apk20.5 KiB2020-07-22 23:59:49
lua-libmodbus-0.6.1-r0.apk1.2 KiB2020-07-22 23:59:49
lua5.2-libmodbus-0.6.1-r0.apk8.6 KiB2020-07-22 23:59:49
lua-lut-1.2.1-r0.apk88.7 KiB2020-07-22 23:59:49
lua-libmodbus-doc-0.6.1-r0.apk19.1 KiB2020-07-22 23:59:49
lua-xml-1.1.3-r1.apk1.2 KiB2020-07-22 23:59:49
lua5.2-psl-0.3-r0.apk5.1 KiB2020-07-22 23:59:49
lua5.1-libmodbus-0.6.1-r0.apk8.6 KiB2020-07-22 23:59:49
mm-dev-1.4.2-r1.apk11.4 KiB2020-07-23 00:00:01
mm-doc-1.4.2-r1.apk14.3 KiB2020-07-23 00:00:01
mm-1.4.2-r1.apk6.7 KiB2020-07-23 00:00:01
msgpuck-dev-2.0-r1.apk21.5 KiB2020-07-23 00:00:16
msgpuck-doc-2.0-r1.apk7.3 KiB2020-07-23 00:00:16
msgpuck-2.0-r1.apk1.2 KiB2020-07-23 00:00:16
purple-hangouts-0_git20200422-r0.apk187.7 KiB2020-07-23 00:01:06
raspi2png-0.0.20190727-r0.apk5.9 KiB2020-07-23 00:01:15
shine-3.1.1-r0.apk50.4 KiB2020-07-23 00:01:18
vim-rust-305-r0.apk20.1 KiB2020-07-23 00:01:27
purple-facebook-0.9.6-r0.apk64.7 KiB2020-07-23 20:39:32
qml-box2d-0_git20180406-r0.apk113.2 KiB2020-07-29 04:18:15
sylpheed-imap-notify-1.1.0-r0.apk7.0 KiB2020-07-29 04:18:18
luapak-0.1.0_beta5-r0.apk35.3 KiB2020-08-06 01:53:48
libfishsound-doc-1.0.0-r1.apk75.3 KiB2020-08-18 15:46:11
libfishsound-1.0.0-r1.apk7.2 KiB2020-08-18 15:46:11
libfishsound-dev-1.0.0-r1.apk53.1 KiB2020-08-18 15:46:11
bcg729-1.1.1-r0.apk32.0 KiB2020-12-03 19:21:50
bcg729-dev-1.1.1-r0.apk3.5 KiB2020-12-03 19:21:50
deadbeef-soxr-20180801-r0.apk5.2 KiB2020-12-03 19:21:51
otf-atkinson-hyperlegible-doc-2020.0514-r0.apk47.5 KiB2020-12-03 19:22:09
otf-atkinson-hyperlegible-2020.0514-r0.apk101.4 KiB2020-12-03 19:22:09
prosody-mod-ipcheck-0.11_hg20201208-r0.apk2.0 KiB2020-12-08 21:10:34
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk2.0 KiB2020-12-08 21:10:34
prosody-mod-mam-0.11_hg20201208-r0.apk5.9 KiB2020-12-08 21:10:34
prosody-mod-conversejs-0.11_hg20201208-r0.apk3.3 KiB2020-12-08 21:10:34
prosody-mod-mam_muc-0.11_hg20201208-r0.apk5.6 KiB2020-12-08 21:10:34
prosody-mod-smacks-0.11_hg20201208-r0.apk8.6 KiB2020-12-08 21:10:34
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk7.2 KiB2020-12-08 21:10:34
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk2.2 KiB2020-12-08 21:10:34
prosody-modules-0.11_hg20201208-r0.apk1.5 KiB2020-12-08 21:10:34
prosody-mod-broadcast-0.11_hg20201208-r0.apk1.8 KiB2020-12-08 21:10:34
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk2.7 KiB2020-12-08 21:10:34
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk6.9 KiB2020-12-08 21:10:34
prosody-mod-server_status-0.11_hg20201208-r0.apk2.8 KiB2020-12-08 21:10:34
prosody-mod-reload_modules-0.11_hg20201208-r0.apk2.0 KiB2020-12-08 21:10:34
prosody-mod-register_redirect-0.11_hg20201208-r0.apk2.7 KiB2020-12-08 21:10:34
prosody-mod-bookmarks-0.11_hg20201208-r0.apk3.1 KiB2020-12-08 21:10:34
prosody-mod-log_auth-0.11_hg20201208-r0.apk1.8 KiB2020-12-08 21:10:34
prosody-mod-http_upload_external-0.11_hg20201208-r0.apk2.9 KiB2020-12-08 21:10:34
prosody-mod-register_json-0.11_hg20201208-r0.apk103.5 KiB2020-12-08 21:10:34
prosody-mod-auth_sql-0.11_hg20201208-r0.apk2.6 KiB2020-12-08 21:10:34
prosody-mod-require_otr-0.11_hg20201208-r0.apk1.7 KiB2020-12-08 21:10:34
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk2.8 KiB2020-12-08 21:10:34
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk2.0 KiB2020-12-08 21:10:34
prosody-mod-pastebin-0.11_hg20201208-r0.apk3.7 KiB2020-12-08 21:10:34
prosody-mod-block_registrations-0.11_hg20201208-r0.apk1.8 KiB2020-12-08 21:10:34
prosody-mod-saslname-0.11_hg20201208-r0.apk1.6 KiB2020-12-08 21:10:34
prosody-mod-auth_pam-0.11_hg20201208-r0.apk1.8 KiB2020-12-08 21:10:34
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk2.0 KiB2020-12-08 21:10:34
prosody-mod-webpresence-0.11_hg20201208-r0.apk2.6 KiB2020-12-08 21:10:34
prosody-mod-host_guard-0.11_hg20201208-r0.apk2.8 KiB2020-12-08 21:10:34
prosody-mod-support_contact-0.11_hg20201208-r0.apk2.0 KiB2020-12-08 21:10:34
libsds-dev-2.0.0-r1.apk3.8 KiB2020-12-15 03:24:40
libsds-2.0.0-r1.apk8.4 KiB2020-12-15 03:24:40
moka-icon-theme-5.4.0-r2.apk113.7 MiB2021-01-14 03:12:03
lua5.2-linenoise-0.9-r1.apk14.9 KiB2021-01-18 11:59:13
lua5.4-linenoise-0.9-r1.apk14.8 KiB2021-01-18 11:59:13
lua5.3-linenoise-0.9-r1.apk14.9 KiB2021-01-18 11:59:13
lua-linenoise-0.9-r1.apk1.2 KiB2021-01-18 11:59:13
lua5.1-linenoise-0.9-r1.apk14.8 KiB2021-01-18 11:59:13
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk13.1 KiB2021-02-03 21:33:05
gf2x-dev-1.3.0-r0.apk55.7 KiB2021-02-15 12:59:27
gf2x-1.3.0-r0.apk34.2 KiB2021-02-15 12:59:27
font-comic-neue-2.51-r0.apk248.8 KiB2021-02-18 17:36:49
font-comic-neue-doc-2.51-r0.apk1004.1 KiB2021-02-18 17:36:49
powerline-extra-symbols-0_git20191017-r0.apk287.4 KiB2021-03-21 06:00:09
powerline-extra-symbols-doc-0_git20191017-r0.apk2.4 KiB2021-03-21 06:00:09
libcli-1.10.7-r0.apk24.3 KiB2021-03-21 07:49:59
lua5.3-editorconfig-0.3.0-r0.apk4.0 KiB2021-04-16 22:52:06
lua5.4-editorconfig-0.3.0-r0.apk4.1 KiB2021-04-16 22:52:06
lua5.2-editorconfig-0.3.0-r0.apk4.0 KiB2021-04-16 22:52:06
lua-editorconfig-0.3.0-r0.apk1.2 KiB2021-04-16 22:52:06
vim-airline-doc-0.11-r0.apk12.1 KiB2021-05-04 10:16:51
vim-airline-0.11-r0.apk86.4 KiB2021-05-04 10:16:51
arc-icon-theme-20161122-r0.apk4.4 MiB2021-05-04 20:49:23
libretro-scummvm-0_git20210325-r0.apk16.4 MiB2021-05-07 01:50:03
libretro-daphne-0_git20210108-r1.apk473.6 KiB2021-06-03 14:24:10
libretro-crocods-0_git20210314-r1.apk249.9 KiB2021-06-03 14:24:10
libmrss-0.19.2-r1.apk16.8 KiB2021-07-18 09:15:10
libmrss-dev-0.19.2-r1.apk27.9 KiB2021-07-18 09:15:10
libsbsms-2.3.0-r0.apk91.3 KiB2021-09-04 16:01:37
libsbsms-dev-2.3.0-r0.apk122.0 KiB2021-09-04 16:01:37
spice-html5-0.3.0-r1.apk438.5 KiB2021-09-10 00:04:01
openttd-opengfx-7.1-r0.apk3.3 MiB2021-09-25 20:16:28
readosm-dev-1.1.0-r2.apk17.0 KiB2021-09-27 22:25:07
readosm-1.1.0-r2.apk12.5 KiB2021-09-27 22:25:07
libfort-0.4.2-r0.apk26.2 KiB2021-10-03 06:14:34
libfort-dev-0.4.2-r0.apk17.4 KiB2021-10-03 06:14:34
gforth-0.7.3-r3.apk625.9 KiB2021-10-14 23:01:35
gforth-doc-0.7.3-r3.apk273.8 KiB2021-10-14 23:01:35
openttd-openmsx-0.4.2-r0.apk129.1 KiB2021-10-17 13:35:59
minidyndns-1.3.0-r3.apk11.6 KiB2021-10-18 21:22:39
minidyndns-doc-1.3.0-r3.apk5.1 KiB2021-10-18 21:22:39
minidyndns-openrc-1.3.0-r3.apk1.8 KiB2021-10-18 21:22:39
sct-2018.12.18-r1.apk3.4 KiB2021-10-28 20:50:56
ssss-doc-0.5.7-r0.apk3.3 KiB2021-10-29 06:38:19
ssss-0.5.7-r0.apk10.8 KiB2021-10-29 06:38:19
modem-manager-gui-0.0.20-r0.apk304.9 KiB2021-10-29 13:58:26
modem-manager-gui-lang-0.0.20-r0.apk129.3 KiB2021-10-29 13:58:26
modem-manager-gui-doc-0.0.20-r0.apk3.9 MiB2021-10-29 13:58:26
nemo-qml-plugin-alarms-0.3.10-r1.apk46.0 KiB2021-11-01 16:09:23
openttd-opensfx-1.0.3-r0.apk11.0 MiB2021-11-03 22:15:06
spread-sheet-widget-doc-0.8-r0.apk4.4 KiB2021-11-13 22:25:54
spread-sheet-widget-0.8-r0.apk40.3 KiB2021-11-13 22:25:54
spread-sheet-widget-dev-0.8-r0.apk331.0 KiB2021-11-13 22:25:54
spread-sheet-widget-dbg-0.8-r0.apk186.3 KiB2021-11-13 22:25:54
font-raleway-4.101-r1.apk1.2 KiB2021-11-22 14:08:48
font-raleway-otf-4.101-r1.apk1.3 MiB2021-11-22 14:08:49
font-raleway-ttf-4.101-r1.apk1.6 MiB2021-11-22 14:08:49
xcape-1.2-r0.apk5.9 KiB2021-11-29 21:04:33
xcape-doc-1.2-r0.apk2.8 KiB2021-11-29 21:04:33
font-fira-4.202-r0.apk1.2 KiB2021-12-04 02:50:57
font-fira-otf-4.202-r0.apk7.4 MiB2021-12-04 02:50:57
font-fira-ttf-4.202-r0.apk6.2 MiB2021-12-04 02:50:58
circuslinux-data-1.0.3-r1.apk1.1 MiB2021-12-05 01:04:23
circuslinux-1.0.3-r1.apk18.6 KiB2021-12-05 01:04:23
circuslinux-doc-1.0.3-r1.apk18.0 KiB2021-12-05 01:04:23
xkb-switch-doc-1.8.5-r0.apk1.9 KiB2021-12-11 18:01:59
xkb-switch-1.8.5-r0.apk15.5 KiB2021-12-11 18:01:59
nullmailer-openrc-2.2-r4.apk1.6 KiB2021-12-13 15:06:54
nullmailer-doc-2.2-r4.apk10.2 KiB2021-12-13 15:06:54
nullmailer-2.2-r4.apk73.9 KiB2021-12-13 15:06:54
libui-dev-4.1_alpha20211213-r0.apk19.1 KiB2021-12-13 20:16:32
libui-4.1_alpha20211213-r0.apk45.4 KiB2021-12-13 20:16:32
restart-services-0.17.0-r0.apk11.5 KiB2021-12-18 22:53:46
restart-services-doc-0.17.0-r0.apk5.9 KiB2021-12-18 22:53:46
rofi-pass-2.0.2-r2.apk8.8 KiB2021-12-29 20:51:20
rofi-pass-doc-2.0.2-r2.apk5.0 KiB2021-12-29 20:51:20
wlclock-1.0.1-r0.apk14.4 KiB2021-12-31 00:27:03
wlclock-doc-1.0.1-r0.apk3.3 KiB2021-12-31 00:27:03
adjtimex-1.29-r0.apk19.2 KiB2021-12-31 21:26:52
adjtimex-doc-1.29-r0.apk7.1 KiB2021-12-31 21:26:52
psftools-doc-1.1.1-r0.apk52.4 KiB2022-01-11 20:17:28
psftools-1.1.1-r0.apk169.8 KiB2022-01-11 20:17:28
psftools-dev-1.1.1-r0.apk40.4 KiB2022-01-11 20:17:28
lua-lupa-1.0-r0.apk19.6 KiB2022-01-14 09:20:41
lua-inet-0.2.0-r0.apk8.9 KiB2022-01-14 09:20:41
apk-autoupdate-doc-0_git20210421-r0.apk6.8 KiB2022-01-15 22:05:10
apk-autoupdate-0_git20210421-r0.apk12.5 KiB2022-01-15 22:05:10
sloccount-2.26-r3.apk55.6 KiB2022-01-18 20:37:07
sloccount-doc-2.26-r3.apk59.4 KiB2022-01-18 20:37:07
libdatrie-libs-0.2.13-r0.apk9.6 KiB2022-01-27 00:31:01
libdatrie-doc-0.2.13-r0.apk3.5 KiB2022-01-27 00:31:01
libdatrie-dev-0.2.13-r0.apk16.4 KiB2022-01-27 00:31:01
libdatrie-0.2.13-r0.apk6.5 KiB2022-01-27 00:31:01
findtow-0.1-r0.apk4.3 KiB2022-01-27 01:32:19
darts-clone-dev-0_git20181117-r0.apk12.8 KiB2022-01-27 01:59:15
darts-clone-0_git20181117-r0.apk23.1 KiB2022-01-27 01:59:15
libgrapheme-dev-1-r0.apk9.4 KiB2022-01-28 23:10:12
libgrapheme-doc-1-r0.apk8.0 KiB2022-01-28 23:10:12
libgrapheme-1-r0.apk9.1 KiB2022-01-28 23:10:12
rofi-blocks-0_git20210123-r0.apk10.1 KiB2022-02-01 18:26:40
rofi-json-menu-0.2.0-r0.apk4.4 KiB2022-02-01 20:25:13
katarakt-0.2-r0.apk72.0 KiB2022-02-02 03:37:44
rkdeveloptool-doc-1.1.0-r0.apk2.7 KiB2022-02-03 02:09:47
rkdeveloptool-1.1.0-r0.apk47.7 KiB2022-02-03 02:09:47
shipments-0.3.0-r0.apk23.5 KiB2022-02-03 02:44:14
libthai-0.1.29-r0.apk193.4 KiB2022-02-05 16:42:08
libthai-doc-0.1.29-r0.apk117.9 KiB2022-02-05 16:42:08
libthai-dev-0.1.29-r0.apk26.7 KiB2022-02-05 16:42:08
libserialport-0.1.1-r1.apk20.0 KiB2022-02-06 09:44:19
libserialport-dev-0.1.1-r1.apk36.6 KiB2022-02-06 09:44:19
cz-viator-hourglass-black-20210706-r0.apk219.0 KiB2022-02-07 12:33:52
simp1e-cursors-dark-0_git20211003-r0.apk779.7 KiB2022-02-08 11:52:49
simp1e-cursors-0_git20211003-r0.apk741.1 KiB2022-02-08 11:52:49
simp1e-cursors-breeze-0_git20211003-r0.apk857.1 KiB2022-02-08 11:52:49
simp1e-cursors-snow-0_git20211003-r0.apk827.9 KiB2022-02-08 11:52:49
simp1e-cursors-solarized-0_git20211003-r0.apk717.4 KiB2022-02-08 11:52:49
bestline-dev-0.0_git20211108-r0.apk1.7 KiB2022-02-13 08:59:43
bestline-0.0_git20211108-r0.apk18.3 KiB2022-02-13 08:59:43
bestline-doc-0.0_git20211108-r0.apk17.6 MiB2022-02-13 08:59:45
fpp-0.9.5-r0.apk29.3 KiB2022-02-14 23:16:14
fpp-doc-0.9.5-r0.apk5.6 KiB2022-02-14 23:16:14
wmctrl-doc-1.07-r1.apk5.1 KiB2022-02-18 14:44:45
wmctrl-1.07-r1.apk12.9 KiB2022-02-18 14:44:45
libopensmtpd-0.7-r0.apk15.6 KiB2022-02-19 01:19:31
libopensmtpd-doc-0.7-r0.apk5.0 KiB2022-02-19 01:19:31
libopensmtpd-dev-0.7-r0.apk3.1 KiB2022-02-19 01:19:31
wshowkeys-1.0-r0.apk11.6 KiB2022-02-20 16:32:34
endlessh-1.1-r0.apk8.8 KiB2022-02-20 18:53:58
endlessh-doc-1.1-r0.apk2.4 KiB2022-02-20 18:53:58
xfce4-hamster-plugin-1.17-r0.apk29.6 KiB2022-02-22 08:20:30
xfce4-hamster-plugin-lang-1.17-r0.apk5.1 KiB2022-02-22 08:20:30
toss-1.1-r0.apk10.6 KiB2022-02-25 14:17:42
pully-openrc-1.0.0-r0.apk1.7 KiB2022-02-26 17:06:52
pully-1.0.0-r0.apk2.5 KiB2022-02-26 17:06:52
ace-of-penguins-1.4-r2.apk141.3 KiB2022-03-01 10:21:35
ace-of-penguins-doc-1.4-r2.apk48.4 KiB2022-03-01 10:21:35
pimd-doc-3.0_git20220201-r0.apk34.8 KiB2022-03-06 10:30:22
pimd-3.0_git20220201-r0.apk76.3 KiB2022-03-06 10:30:22
pimd-openrc-3.0_git20220201-r0.apk1.6 KiB2022-03-06 10:30:22
fig2dev-3.2.8b-r0.apk238.7 KiB2022-03-11 10:37:52
fig2dev-doc-3.2.8b-r0.apk21.6 KiB2022-03-11 10:37:52
tremc-doc-0.9.3-r0.apk2.6 KiB2022-03-17 23:19:21
tremc-0.9.3-r0.apk48.2 KiB2022-03-17 23:19:21
tremc-zsh-completion-0.9.3-r0.apk1.6 KiB2022-03-17 23:19:21
tremc-bash-completion-0.9.3-r0.apk1.7 KiB2022-03-17 23:19:21
libwbxml-0.11.8-r0.apk57.8 KiB2022-03-19 09:09:47
libwbxml-doc-0.11.8-r0.apk28.3 KiB2022-03-19 09:09:47
libwbxml-dev-0.11.8-r0.apk9.0 KiB2022-03-19 09:09:47
levmar-dev-2.6-r0.apk42.6 KiB2022-04-06 11:28:57
deutex-5.2.2-r1.apk62.7 KiB2022-04-10 21:06:55
liquibase-4.9.1-r0.apk31.6 MiB2022-04-11 11:44:05
liquibase-doc-4.9.1-r0.apk56.9 KiB2022-04-11 11:44:05
nsnake-3.0.0-r0.apk8.2 KiB2022-04-15 13:47:43
nsnake-doc-3.0.0-r0.apk2.6 KiB2022-04-15 13:47:43
soundfont-vintage-dreams-waves-doc-2.1-r1.apk1.9 KiB2022-04-17 06:28:40
soundfont-vintage-dreams-waves-2.1-r1.apk90.6 KiB2022-04-17 06:28:40
libretro-theodore-3.1-r0.apk866.3 KiB2022-04-19 21:09:27
libretro-atari800-0_git20220327-r0.apk223.7 KiB2022-04-21 09:41:12
libretro-beetle-pce-fast-0_git20220205-r0.apk309.3 KiB2022-04-21 09:41:12
libretro-beetle-pcfx-0_git20220409-r0.apk260.7 KiB2022-04-21 09:41:12
libretro-dinothawr-0_git20220401-r0.apk108.5 KiB2022-04-21 09:41:13
libretro-cap32-0_git20220419-r0.apk285.7 KiB2022-04-21 09:41:13
libretro-beetle-saturn-0_git20220417-r0.apk1.3 MiB2022-04-21 09:41:13
libretro-bluemsx-0_git20220213-r0.apk560.9 KiB2022-04-21 09:41:13
libretro-beetle-supergrafx-0_git20220218-r0.apk317.6 KiB2022-04-21 09:41:13
libretro-gong-0_git20220319-r0.apk7.8 KiB2022-04-21 09:41:15
libretro-frodo-0_git20221221-r0.apk140.0 KiB2022-04-21 09:41:15
libretro-gme-0_git20220410-r0.apk159.1 KiB2022-04-21 09:41:15
libretro-fbneo-0_git20220416-r0.apk9.1 MiB2022-04-21 09:41:15
libretro-gw-0_git20220410-r0.apk150.3 KiB2022-04-21 09:41:15
libretro-fuse-0_git20220417-r0.apk770.5 KiB2022-04-21 09:41:15
libretro-freeintv-0_git20220319-r0.apk30.2 KiB2022-04-21 09:41:15
libretro-mame2000-0_git20220413-r0.apk2.4 MiB2022-04-21 09:41:16
libretro-neocd-0_git20220325-r0.apk341.4 KiB2022-04-21 09:41:17
libretro-parallel-n64-0_git20220406-r0.apk805.1 KiB2022-04-21 09:41:17
libretro-openlara-0_git20210121-r0.apk419.7 KiB2022-04-21 09:41:17
libretro-nxengine-0_git20220301-r0.apk249.2 KiB2022-04-21 09:41:17
libretro-opera-0_git20211214-r0.apk148.8 KiB2022-04-21 09:41:17
libretro-mu-0_git20220317-r0.apk130.9 KiB2022-04-21 09:41:17
libretro-mame2003-0_git20220206-r0.apk6.3 MiB2022-04-21 09:41:17
libretro-picodrive-0_git20220405-r0.apk407.5 KiB2022-04-21 09:41:18
libretro-pocketcdg-0_git20220327-r0.apk78.8 KiB2022-04-21 09:41:18
libretro-tyrquake-0_git20220409-r0.apk338.8 KiB2022-04-21 09:41:18
libretro-snes9x-0_git20220414-r0.apk590.9 KiB2022-04-21 09:41:18
libretro-xrick-0_git20220331-r0.apk106.8 KiB2022-04-21 09:41:18
wiremapper-0.10.0-r0.apk21.7 KiB2022-04-26 20:40:33
bakelite-0.4.2-r0.apk36.1 KiB2022-04-28 16:37:05
twemproxy-0.5.0-r0.apk56.7 KiB2022-04-30 08:20:33
twemproxy-doc-0.5.0-r0.apk17.2 KiB2022-04-30 08:20:33
slurm-doc-0.4.4-r0.apk2.3 KiB2022-05-02 00:14:37
slurm-0.4.4-r0.apk13.7 KiB2022-05-02 00:14:37
nbsdgames-5-r0.apk85.1 KiB2022-05-04 13:20:40
nbsdgames-doc-5-r0.apk9.4 KiB2022-05-04 13:20:40
tdrop-0.5.0-r0.apk11.8 KiB2022-05-05 20:56:05
tdrop-doc-0.5.0-r0.apk8.9 KiB2022-05-05 20:56:05
nlopt-dev-2.7.1-r0.apk12.2 KiB2022-05-06 09:53:50
nlopt-doc-2.7.1-r0.apk22.7 KiB2022-05-06 09:53:50
nlopt-2.7.1-r0.apk155.3 KiB2022-05-06 09:53:50
php81-tideways_xhprof-5.0.4-r1.apk12.2 KiB2022-05-10 20:18:31
php81-pecl-oauth-2.0.7-r0.apk32.8 KiB2022-05-10 20:18:31
aspell-es-1.11-r0.apk533.0 KiB2022-05-12 09:04:43
xload-doc-1.1.4-r0.apk3.2 KiB2022-05-12 09:06:08
xload-1.1.4-r0.apk6.0 KiB2022-05-12 09:06:08
catcodec-doc-1.0.5-r2.apk4.9 KiB2022-05-14 11:06:24
catcodec-1.0.5-r2.apk11.1 KiB2022-05-14 11:06:24
pam-krb5-4.11-r0.apk19.2 KiB2022-05-16 14:17:31
pam-krb5-doc-4.11-r0.apk23.4 KiB2022-05-16 14:17:31
foolsm-1.0.21-r0.apk31.0 KiB2022-05-21 12:41:17
foolsm-doc-1.0.21-r0.apk3.9 KiB2022-05-21 12:41:17
foolsm-openrc-1.0.21-r0.apk1.5 KiB2022-05-21 12:41:17
ecos-dev-2.0.10-r0.apk27.9 KiB2022-05-23 20:15:23
ecos-2.0.10-r0.apk35.1 KiB2022-05-23 20:15:23
lua5.1-luacov-html-1.0.0-r1.apk412.7 KiB2022-06-02 15:15:13
lua5.2-luacov-html-1.0.0-r1.apk412.8 KiB2022-06-02 15:15:13
luacov-html-1.0.0-r1.apk1.2 KiB2022-06-02 15:15:13
lua5.3-luacov-html-1.0.0-r1.apk412.8 KiB2022-06-02 15:15:13
php81-pecl-csv-0.4.2-r0.apk8.9 KiB2022-06-03 05:54:16
p910nd-openrc-0.97-r2.apk1.8 KiB2022-06-04 12:38:42
p910nd-0.97-r2.apk6.7 KiB2022-06-04 12:38:42
p910nd-doc-0.97-r2.apk3.0 KiB2022-06-04 12:38:42
ccrtp-doc-2.1.2-r0.apk31.3 KiB2022-06-04 21:00:12
ccrtp-2.1.2-r0.apk85.6 KiB2022-06-04 21:00:12
ccrtp-dev-2.1.2-r0.apk52.7 KiB2022-06-04 21:00:12
uclient-fetch-20210514-r0.apk9.8 KiB2022-06-09 01:00:44
uclient-20210514-r0.apk10.2 KiB2022-06-09 01:00:44
uclient-dev-20210514-r0.apk3.2 KiB2022-06-09 01:00:44
soapy-bladerf-0.4.1-r0.apk36.4 KiB2022-06-09 12:34:31
jdebp-redo-doc-1.4-r1.apk12.1 KiB2022-06-11 16:44:32
jdebp-redo-1.4-r1.apk82.4 KiB2022-06-11 16:44:32
nmap-parse-output-bash-completion-1.5.1-r0.apk1.7 KiB2022-06-12 21:54:20
nmap-parse-output-1.5.1-r0.apk21.4 KiB2022-06-12 21:54:20
nmap-parse-output-doc-1.5.1-r0.apk807.5 KiB2022-06-12 21:54:20
fff-doc-2.2-r0.apk9.0 KiB2022-06-15 20:50:08
fff-2.2-r0.apk10.7 KiB2022-06-15 20:50:08
xiccd-doc-0.3.0_git20211219-r1.apk3.3 KiB2022-06-16 16:06:06
xiccd-0.3.0_git20211219-r1.apk14.0 KiB2022-06-16 16:06:06
luksmeta-dev-9-r0.apk3.1 KiB2022-06-17 11:45:29
luksmeta-9-r0.apk11.6 KiB2022-06-17 11:45:29
luksmeta-doc-9-r0.apk5.5 KiB2022-06-17 11:45:29
envsubst-0.1-r1.apk4.0 KiB2022-06-28 05:27:37
wlopm-doc-0.1.0-r0.apk2.0 KiB2022-06-28 15:08:39
wlopm-0.1.0-r0.apk5.7 KiB2022-06-28 15:08:39
jack_capture-0.9.73_git20210429-r2.apk29.5 KiB2022-07-01 02:16:48
libmdbx-doc-0.11.8-r0.apk8.9 KiB2022-07-02 04:10:34
libmdbx-dev-0.11.8-r0.apk93.2 KiB2022-07-02 04:10:34
libmdbx-0.11.8-r0.apk639.7 KiB2022-07-02 04:10:34
libmdbx-dbg-0.11.8-r0.apk2.3 MiB2022-07-02 04:10:34
bitlbee-mastodon-1.4.5-r0.apk40.9 KiB2022-07-05 15:17:36
lumina-desktop-1.6.2-r0.apk1.2 KiB2022-07-05 19:10:22
lumina-desktop-archiver-1.6.2-r0.apk151.8 KiB2022-07-05 19:10:22
lumina-desktop-photo-1.6.2-r0.apk112.9 KiB2022-07-05 19:10:23
lumina-desktop-mediaplayer-1.6.2-r0.apk184.7 KiB2022-07-05 19:10:23
lumina-desktop-core-1.6.2-r0.apk8.8 MiB2022-07-05 19:10:23
lumina-desktop-fm-1.6.2-r0.apk359.8 KiB2022-07-05 19:10:23
lumina-desktop-screenshot-1.6.2-r0.apk149.1 KiB2022-07-05 19:10:23
lumina-desktop-sudo-1.6.2-r0.apk85.5 KiB2022-07-05 19:10:23
lumina-desktop-doc-1.6.2-r0.apk11.5 KiB2022-07-05 19:10:23
lumina-desktop-textedit-1.6.2-r0.apk174.5 KiB2022-07-05 19:10:23
lumina-desktop-coreutils-1.6.2-r0.apk764.1 KiB2022-07-05 19:10:23
lumina-desktop-fileinfo-1.6.2-r0.apk145.2 KiB2022-07-05 19:10:23
boson-0_git20211219-r0.apk14.6 KiB2022-07-10 18:36:28
font-fira-code-6.2-r0.apk836.0 KiB2022-07-23 23:12:23
font-fira-code-vf-6.2-r0.apk145.1 KiB2022-07-23 23:12:23
freealut-dev-1.1.0-r1.apk21.1 KiB2022-07-26 02:56:02
freealut-1.1.0-r1.apk16.0 KiB2022-07-26 02:56:02
compton-conf-0.16.0-r1.apk54.6 KiB2022-07-26 06:46:22
flann-1.9.1-r4.apk721.8 KiB2022-07-26 06:46:24
flann-dev-1.9.1-r4.apk1.3 MiB2022-07-26 06:46:25
flann-doc-1.9.1-r4.apk2.6 KiB2022-07-26 06:46:25
lua5.2-penlight-1.3.0-r1.apk99.0 KiB2022-07-26 06:46:27
lua5.2-penlight-doc-1.3.0-r1.apk64.7 KiB2022-07-26 06:46:27
pixiewps-1.4.2-r1.apk36.1 KiB2022-07-26 06:46:59
pixiewps-doc-1.4.2-r1.apk3.4 KiB2022-07-26 06:46:59
witchery-0.0.3-r2.apk3.2 KiB2022-07-26 06:47:00
mpdris2-doc-0.9.1-r3.apk14.9 KiB2022-07-27 23:52:42
mpdris2-lang-0.9.1-r3.apk2.3 KiB2022-07-27 23:52:42
mpdris2-0.9.1-r3.apk15.2 KiB2022-07-27 23:52:42
a2jmidid-9-r3.apk24.9 KiB2022-08-01 06:23:56
a2jmidid-doc-9-r3.apk4.2 KiB2022-08-01 06:23:56
hatop-doc-0.8.2-r0.apk3.0 KiB2022-08-01 17:46:11
hatop-0.8.2-r0.apk18.0 KiB2022-08-01 17:46:11
btpd-0.16-r2.apk62.4 KiB2022-08-04 06:27:17
btpd-doc-0.16-r2.apk8.4 KiB2022-08-04 06:27:17
commoncpp-tools-7.0.1-r1.apk35.0 KiB2022-08-04 06:27:27
commoncpp-dev-7.0.1-r1.apk173.0 KiB2022-08-04 06:27:27
commoncpp-doc-7.0.1-r1.apk14.8 KiB2022-08-04 06:27:27
commoncpp-7.0.1-r1.apk231.4 KiB2022-08-04 06:27:27
mktorrent-borg-doc-0.9.9-r1.apk2.5 KiB2022-08-04 06:28:12
meson-tools-doc-0.1-r1.apk8.4 KiB2022-08-04 06:28:12
mktorrent-borg-0.9.9-r1.apk9.5 KiB2022-08-04 06:28:12
meson-tools-0.1-r1.apk7.5 KiB2022-08-04 06:28:12
peervpn-openrc-0.044-r5.apk1.8 KiB2022-08-04 06:28:17
peervpn-0.044-r5.apk36.3 KiB2022-08-04 06:28:17
opmsg-1.84-r1.apk242.6 KiB2022-08-04 06:28:17
xva-img-1.4.2-r1.apk12.0 KiB2022-08-04 06:29:19
barrier-doc-2.4.0-r1.apk13.2 KiB2022-08-04 19:41:15
barrier-2.4.0-r1.apk804.9 KiB2022-08-04 19:41:15
opensmtpd-filter-dkimsign-doc-0.6-r1.apk3.6 KiB2022-08-04 19:41:30
opensmtpd-filter-dkimsign-0.6-r1.apk12.4 KiB2022-08-04 19:41:30
ustream-ssl-20220116-r1.apk5.6 KiB2022-08-04 19:41:31
ustream-ssl-dev-20220116-r1.apk2.6 KiB2022-08-04 19:41:31
reredirect-0.3-r0.apk7.2 KiB2022-08-06 08:50:52
reredirect-doc-0.3-r0.apk2.8 KiB2022-08-06 08:50:52
j4-dmenu-desktop-2.18-r1.apk40.2 KiB2022-08-06 12:15:38
fox-adie-1.6.57-r0.apk114.8 KiB2022-08-08 10:57:47
fox-1.6.57-r0.apk878.0 KiB2022-08-08 10:57:47
fox-dev-1.6.57-r0.apk1.5 MiB2022-08-08 10:57:47
fox-doc-1.6.57-r0.apk2.0 MiB2022-08-08 10:57:47
fox-utils-1.6.57-r0.apk6.4 KiB2022-08-08 10:57:47
fox-shutterbug-1.6.57-r0.apk17.7 KiB2022-08-08 10:57:47
fox-pathfinder-1.6.57-r0.apk46.2 KiB2022-08-08 10:57:47
fox-calculator-1.6.57-r0.apk29.1 KiB2022-08-08 10:57:47
herbe-1.0.0-r0.apk5.6 KiB2022-08-10 18:44:11
berry-lang-1.1.0-r0.apk89.2 KiB2022-08-12 22:35:15
lua-fn-0.1.0-r0.apk3.4 KiB2022-08-15 15:13:41
mbrola-3.3-r0.apk18.3 KiB2022-08-16 01:57:23
font-firamath-0.3.4-r0.apk118.3 KiB2022-08-18 19:18:37
avdl-0.4.3-r1.apk203.6 KiB2022-08-20 11:15:48
anarch-doc-1.0-r1.apk18.0 KiB2022-08-20 11:15:48
avdl-doc-0.4.3-r1.apk2.7 KiB2022-08-20 11:15:48
anarch-1.0-r1.apk90.2 KiB2022-08-20 11:15:48
rue-0.3.0-r1.apk42.4 MiB2022-08-20 11:17:22
font-teluguvijayam-20190525-r1.apk3.7 MiB2022-08-21 15:19:19
dhewm3-1.5.2-r0.apk3.8 MiB2022-08-24 07:59:01
beard-0.4-r0.apk3.1 KiB2022-08-28 16:21:08
beard-doc-0.4-r0.apk2.5 KiB2022-08-28 16:21:08
wlroots0.12-0.12.0-r1.apk195.1 KiB2022-09-02 18:54:56
wlroots0.12-dev-0.12.0-r1.apk60.7 KiB2022-09-02 18:54:57
wlroots0.12-dbg-0.12.0-r1.apk1010.7 KiB2022-09-02 18:54:57
hashcat-doc-6.2.6-r0.apk2.1 MiB2022-09-04 23:49:44
hashcat-6.2.6-r0.apk51.8 MiB2022-09-04 23:49:44
ccze-dev-0.2.1-r1.apk3.3 KiB2022-09-07 20:32:58
ccze-doc-0.2.1-r1.apk8.8 KiB2022-09-07 20:32:58
ccze-0.2.1-r1.apk69.4 KiB2022-09-07 20:32:58
mcjoin-2.11-r0.apk20.5 KiB2022-09-12 07:21:56
mcjoin-doc-2.11-r0.apk53.7 KiB2022-09-12 07:21:56
php82-pecl-vld-0.18.0-r0.apk14.1 KiB2022-09-16 11:34:06
lzfse-dev-1.0-r0.apk3.4 KiB2022-09-17 09:38:30
lzfse-1.0-r0.apk19.0 KiB2022-09-17 09:38:30
sigrok-cli-0.7.2-r0.apk36.5 KiB2022-09-19 10:28:24
sigrok-cli-doc-0.7.2-r0.apk8.0 KiB2022-09-19 10:28:24
zile-2.6.2-r0.apk99.4 KiB2022-09-19 23:54:09
zile-doc-2.6.2-r0.apk15.8 KiB2022-09-19 23:54:09
font-katex-0.16.2-r0.apk851.6 KiB2022-09-20 19:08:59
tmux-resurrect-doc-4.0.0-r0.apk8.4 KiB2022-09-21 08:37:02
tmux-resurrect-4.0.0-r0.apk13.9 KiB2022-09-21 08:37:02
nemo-gtkhash-plugin-1.5-r0.apk20.5 KiB2022-10-01 21:13:41
gtkhash-lang-1.5-r0.apk46.6 KiB2022-10-01 21:13:41
caja-gtkhash-plugin-1.5-r0.apk22.3 KiB2022-10-01 21:13:41
thunar-gtkhash-plugin-1.5-r0.apk20.3 KiB2022-10-01 21:13:41
gtkhash-1.5-r0.apk83.6 KiB2022-10-01 21:13:41
bitlbee-facebook-1.2.2-r0.apk50.3 KiB2022-10-01 23:52:20
spampd-openrc-2.61-r1.apk2.1 KiB2022-10-02 14:52:29
spampd-2.61-r1.apk38.6 KiB2022-10-02 14:52:29
libtsm-4.0.2-r0.apk22.5 KiB2022-10-05 00:06:45
kmscon-doc-9.0.0-r0.apk7.7 KiB2022-10-05 00:06:45
kmscon-9.0.0-r0.apk785.2 KiB2022-10-05 00:06:45
libtsm-dev-4.0.2-r0.apk9.5 KiB2022-10-05 00:06:45
java-jtharness-examples-6.0_p12-r0.apk218.9 KiB2022-10-05 19:20:43
java-jtharness-6.0_p12-r0.apk4.0 MiB2022-10-05 19:20:43
java-jtharness-doc-6.0_p12-r0.apk11.6 KiB2022-10-05 19:20:43
shntool-doc-3.0.10-r4.apk10.3 KiB2022-10-08 13:44:11
shntool-3.0.10-r4.apk54.3 KiB2022-10-08 13:44:11
font-siji-20190218_git-r2.apk24.5 KiB2022-10-08 15:24:41
font-tamzen-1.11.5-r1.apk61.9 KiB2022-10-08 15:24:41
cadence-0.9.2-r0.apk1.9 MiB2022-10-09 15:22:22
font-fontawesome-4-4.7.0-r3.apk205.0 KiB2022-10-11 02:13:47
font-anonymous-pro-1.002-r2.apk264.5 KiB2022-10-11 02:13:47
dvdbackup-doc-0.4.2-r1.apk7.6 KiB2022-10-14 14:53:16
dvdbackup-lang-0.4.2-r1.apk1.4 KiB2022-10-14 14:53:16
cscope-15.9-r1.apk147.8 KiB2022-10-14 14:53:16
cscope-doc-15.9-r1.apk7.5 KiB2022-10-14 14:53:16
dvdbackup-0.4.2-r1.apk15.8 KiB2022-10-14 14:53:16
extundelete-0.2.4-r1.apk38.9 KiB2022-10-14 14:53:16
htmlcxx-0.87-r1.apk54.3 KiB2022-10-14 14:53:20
libmhash-dev-0.9.9.9-r3.apk109.8 KiB2022-10-14 14:53:20
pfqueue-0.5.6-r1.apk47.1 KiB2022-10-14 14:53:20
pfqueue-dev-0.5.6-r1.apk19.9 KiB2022-10-14 14:53:20
libmhash-0.9.9.9-r3.apk97.3 KiB2022-10-14 14:53:20
pamtester-doc-0.1.2-r3.apk2.9 KiB2022-10-14 14:53:20
menumaker-0.99.14-r1.apk111.0 KiB2022-10-14 14:53:20
htmlcxx-dev-0.87-r1.apk20.6 KiB2022-10-14 14:53:20
pfqueue-doc-0.5.6-r1.apk6.2 KiB2022-10-14 14:53:20
pamtester-0.1.2-r3.apk7.4 KiB2022-10-14 14:53:20
mp3val-0.1.8-r1.apk12.3 KiB2022-10-14 14:53:20
libmhash-doc-0.9.9.9-r3.apk8.1 KiB2022-10-14 14:53:20
idesk-1-r1.apk60.5 KiB2022-10-14 14:53:20
tanidvr-1.4.1-r1.apk20.7 KiB2022-10-14 14:53:21
tinyscheme-1.42-r1.apk49.0 KiB2022-10-14 14:53:21
tanidvr-dhav2mkv-1.4.1-r1.apk11.9 KiB2022-10-14 14:53:21
wput-doc-0.6.2-r4.apk8.2 KiB2022-10-14 14:53:22
wput-0.6.2-r4.apk35.4 KiB2022-10-14 14:53:22
avarice-2.14-r3.apk73.8 KiB2022-10-17 12:57:43
avarice-doc-2.14-r3.apk9.5 KiB2022-10-17 12:57:43
miraclecast-bash-completion-1.0_git20221016-r0.apk2.9 KiB2022-10-17 14:17:57
miraclecast-1.0_git20221016-r0.apk140.7 KiB2022-10-17 14:17:57
hopalong-0.1-r3.apk18.7 KiB2022-10-18 03:15:55
ettercap-doc-0.8.3.1-r2.apk45.2 KiB2022-10-18 03:56:25
ettercap-0.8.3.1-r2.apk594.2 KiB2022-10-18 03:56:25
libettercap-0.8.3.1-r2.apk176.2 KiB2022-10-18 03:56:25
advancescan-1.18-r1.apk223.0 KiB2022-10-24 23:23:43
advancescan-doc-1.18-r1.apk7.3 KiB2022-10-24 23:23:43
afetch-doc-2.2.0-r1.apk13.6 KiB2022-10-24 23:23:43
afetch-2.2.0-r1.apk9.2 KiB2022-10-24 23:23:43
atool-0.39.0-r4.apk17.7 KiB2022-10-24 23:23:44
catdoc-doc-0.95-r1.apk9.2 KiB2022-10-24 23:23:44
bwrap-oci-doc-0.2-r1.apk2.5 KiB2022-10-24 23:23:44
backup-manager-0.7.15-r1.apk55.3 KiB2022-10-24 23:23:44
atool-bash-completion-0.39.0-r4.apk2.0 KiB2022-10-24 23:23:44
barnyard2-openrc-2.1.14_git20160413-r1.apk2.8 KiB2022-10-24 23:23:44
catdoc-0.95-r1.apk105.6 KiB2022-10-24 23:23:44
bchunk-doc-1.2.2-r2.apk3.1 KiB2022-10-24 23:23:44
cgo-0.6.1-r1.apk9.3 KiB2022-10-24 23:23:44
bgs-doc-0.8-r1.apk2.3 KiB2022-10-24 23:23:44
b2sum-20190729-r2.apk14.6 KiB2022-10-24 23:23:44
barnyard2-2.1.14_git20160413-r1.apk110.8 KiB2022-10-24 23:23:44
birdtray-1.9.0-r1.apk387.8 KiB2022-10-24 23:23:44
atool-doc-0.39.0-r4.apk9.6 KiB2022-10-24 23:23:44
cgo-doc-0.6.1-r1.apk4.1 KiB2022-10-24 23:23:44
bgs-0.8-r1.apk5.6 KiB2022-10-24 23:23:44
bchunk-1.2.2-r2.apk7.3 KiB2022-10-24 23:23:44
b2sum-doc-20190729-r2.apk2.7 KiB2022-10-24 23:23:44
bwrap-oci-0.2-r1.apk13.7 KiB2022-10-24 23:23:44
colorpicker-0_git20201128-r1.apk4.0 KiB2022-10-24 23:23:46
epoch-1.3.0-r1.apk58.3 KiB2022-10-24 23:23:46
csmith-doc-2.3.0-r1.apk3.1 KiB2022-10-24 23:23:46
enlighten-doc-0.9.2-r1.apk3.5 KiB2022-10-24 23:23:46
csmith-2.3.0-r1.apk252.6 KiB2022-10-24 23:23:46
curlftpfs-doc-0.9.2-r3.apk6.1 KiB2022-10-24 23:23:46
ddserver-0_git20200930-r1.apk10.5 KiB2022-10-24 23:23:46
dustracing2d-2.1.1-r1.apk5.1 MiB2022-10-24 23:23:46
curlpp-0.8.1-r1.apk24.1 KiB2022-10-24 23:23:46
curlpp-dev-0.8.1-r1.apk63.7 KiB2022-10-24 23:23:46
cowsay-3.04-r2.apk18.4 KiB2022-10-24 23:23:46
eatmemory-0.1.6-r2.apk4.1 KiB2022-10-24 23:23:46
ctorrent-dnh-3.3.2-r2.apk80.9 KiB2022-10-24 23:23:46
crazydiskinfo-1.1.0-r1.apk29.2 KiB2022-10-24 23:23:46
cowsay-doc-3.04-r2.apk4.0 KiB2022-10-24 23:23:46
curlftpfs-0.9.2-r3.apk23.4 KiB2022-10-24 23:23:46
enlighten-0.9.2-r1.apk7.0 KiB2022-10-24 23:23:46
daemontools-0.76-r2.apk90.4 KiB2022-10-24 23:23:46
geomyidae-0.34-r2.apk12.5 KiB2022-10-24 23:23:47
flauschige-uhr-0.1-r1.apk4.1 KiB2022-10-24 23:23:47
imrsh-0_git20210320-r1.apk7.6 KiB2022-10-24 23:23:47
hidrd-dev-0.2.0_git20190603-r1.apk117.6 KiB2022-10-24 23:23:47
i2util-dev-4.2.1-r1.apk42.7 KiB2022-10-24 23:23:47
hsetroot-1.0.5-r1.apk10.8 KiB2022-10-24 23:23:47
fatback-1.3-r2.apk24.3 KiB2022-10-24 23:23:47
gpa-doc-0.10.0-r2.apk2.9 KiB2022-10-24 23:23:47
hexdiff-0.0.53-r2.apk13.2 KiB2022-10-24 23:23:47
iprange-doc-1.0.4-r1.apk4.5 KiB2022-10-24 23:23:47
gstreamermm-1.10.0-r4.apk401.0 KiB2022-10-24 23:23:47
isoinfo-0_git20131217-r1.apk6.1 KiB2022-10-24 23:23:47
fatback-doc-1.3-r2.apk16.1 KiB2022-10-24 23:23:47
fatresize-doc-1.1.0-r1.apk15.2 KiB2022-10-24 23:23:47
initify-0_git20171210-r1.apk3.3 KiB2022-10-24 23:23:47
gpa-0.10.0-r2.apk199.1 KiB2022-10-24 23:23:47
fzy-1.0-r3.apk11.1 KiB2022-10-24 23:23:47
hidrd-0.2.0_git20190603-r1.apk62.9 KiB2022-10-24 23:23:47
iprange-1.0.4-r1.apk20.2 KiB2022-10-24 23:23:47
imrsh-dbg-0_git20210320-r1.apk19.6 KiB2022-10-24 23:23:47
hexdiff-doc-0.0.53-r2.apk3.7 KiB2022-10-24 23:23:47
i2util-4.2.1-r1.apk20.4 KiB2022-10-24 23:23:47
guetzli-0_git20191025-r1.apk115.5 KiB2022-10-24 23:23:47
fzy-doc-1.0-r3.apk2.7 KiB2022-10-24 23:23:47
i2util-doc-4.2.1-r1.apk4.7 KiB2022-10-24 23:23:47
fatresize-1.1.0-r1.apk8.5 KiB2022-10-24 23:23:47
gstreamermm-dev-1.10.0-r4.apk320.2 KiB2022-10-24 23:23:47
guetzli-dev-0_git20191025-r1.apk2.1 MiB2022-10-24 23:23:47
geomyidae-openrc-0.34-r2.apk2.0 KiB2022-10-24 23:23:47
geomyidae-doc-0.34-r2.apk7.7 KiB2022-10-24 23:23:47
liboggz-1.1.1-r2.apk110.6 KiB2022-10-24 23:23:48
libwmiclient-1.3.16-r4.apk1.3 MiB2022-10-24 23:23:48
libwmiclient-dev-1.3.16-r4.apk1.7 KiB2022-10-24 23:23:48
liboggz-dev-1.1.1-r2.apk154.4 KiB2022-10-24 23:23:48
lemonbar-doc-1.4-r1.apk5.8 KiB2022-10-24 23:23:48
jbigkit-2.1-r2.apk62.2 KiB2022-10-24 23:23:48
liboggz-doc-1.1.1-r2.apk134.2 KiB2022-10-24 23:23:48
libemf2svg-utils-1.1.0-r2.apk16.3 KiB2022-10-24 23:23:48
libemf2svg-1.1.0-r2.apk130.8 KiB2022-10-24 23:23:48
lemonbar-1.4-r1.apk12.7 KiB2022-10-24 23:23:48
jbigkit-doc-2.1-r2.apk7.3 KiB2022-10-24 23:23:48
jbigkit-dev-2.1-r2.apk28.0 KiB2022-10-24 23:23:48
libmustache-0.5.0-r1.apk76.4 KiB2022-10-24 23:23:48
lockrun-1.1.3-r1.apk5.1 KiB2022-10-24 23:23:49
llmnrd-doc-0.7-r1.apk3.0 KiB2022-10-24 23:23:49
lua5.1-luastatic-0.0.12-r1.apk62.1 KiB2022-10-24 23:23:49
lsmash-2.14.5-r2.apk250.9 KiB2022-10-24 23:23:49
llmnrd-0.7-r1.apk16.2 KiB2022-10-24 23:23:49
lrzsz-0.12.20-r2.apk65.4 KiB2022-10-24 23:23:49
lsmash-dev-2.14.5-r2.apk325.8 KiB2022-10-24 23:23:49
llmnrd-openrc-0.7-r1.apk1.9 KiB2022-10-24 23:23:49
lua5.2-luastatic-0.0.12-r1.apk8.7 KiB2022-10-24 23:23:49
lrzsz-doc-0.12.20-r2.apk14.8 KiB2022-10-24 23:23:49
lua-luastatic-0.0.12-r1.apk1.5 KiB2022-10-24 23:23:49
lua5.4-luastatic-0.0.12-r1.apk8.8 KiB2022-10-24 23:23:49
lua5.3-luastatic-0.0.12-r1.apk8.7 KiB2022-10-24 23:23:49
mrsh-dev-0_git20210518-r1.apk10.0 KiB2022-10-24 23:23:52
mjpg-streamer-input-raspicam-0_git20210220-r1.apk14.9 KiB2022-10-24 23:23:52
netsed-1.3-r3.apk9.3 KiB2022-10-24 23:23:52
noice-0.8-r1.apk8.2 KiB2022-10-24 23:23:52
mrsh-dbg-0_git20210518-r1.apk206.0 KiB2022-10-24 23:23:52
minimodem-doc-0.24-r1.apk5.2 KiB2022-10-24 23:23:52
mdp-doc-1.0.15-r1.apk3.7 KiB2022-10-24 23:23:52
moon-buggy-1.0.51-r1.apk32.0 KiB2022-10-24 23:23:52
minimodem-0.24-r1.apk18.7 KiB2022-10-24 23:23:52
mkg3a-doc-0.5.0-r1.apk3.1 KiB2022-10-24 23:23:52
memdump-doc-1.01-r1.apk3.1 KiB2022-10-24 23:23:52
moon-buggy-doc-1.0.51-r1.apk7.1 KiB2022-10-24 23:23:52
noblenote-1.2.1-r1.apk378.2 KiB2022-10-24 23:23:52
mpdcron-zsh-completion-0.3-r1.apk2.9 KiB2022-10-24 23:23:52
noice-doc-0.8-r1.apk3.4 KiB2022-10-24 23:23:52
mpdcron-doc-0.3-r1.apk13.4 KiB2022-10-24 23:23:52
marxan-4.0.7-r1.apk476.1 KiB2022-10-24 23:23:52
mkg3a-0.5.0-r1.apk14.8 KiB2022-10-24 23:23:52
mpdcron-dev-0.3-r1.apk52.5 KiB2022-10-24 23:23:52
mspdebug-doc-0.25-r1.apk14.2 KiB2022-10-24 23:23:52
md5ha1-0_git20171202-r1.apk8.9 KiB2022-10-24 23:23:52
mpdcron-0.3-r1.apk90.0 KiB2022-10-24 23:23:52
mxclient-0_git20211002-r1.apk59.9 KiB2022-10-24 23:23:52
mrsh-0_git20210518-r1.apk5.0 KiB2022-10-24 23:23:52
mdp-1.0.15-r1.apk14.5 KiB2022-10-24 23:23:52
mrsh-libs-0_git20210518-r1.apk49.9 KiB2022-10-24 23:23:52
memdump-1.01-r1.apk5.2 KiB2022-10-24 23:23:52
n30f-2.0-r3.apk6.3 KiB2022-10-24 23:23:52
mjpg-streamer-0_git20210220-r1.apk192.7 KiB2022-10-24 23:23:52
mspdebug-0.25-r1.apk160.7 KiB2022-10-24 23:23:52
peg-0.1.18-r1.apk31.7 KiB2022-10-24 23:23:53
pfetch-0.6.0-r1.apk16.1 KiB2022-10-24 23:23:53
objconv-2.52_git20210213-r2.apk211.3 KiB2022-10-24 23:23:53
pastebinc-0.9.1-r2.apk10.7 KiB2022-10-24 23:23:53
par-1.53.0-r1.apk13.4 KiB2022-10-24 23:23:53
p0f-doc-3.09b-r2.apk25.3 KiB2022-10-24 23:23:53
olsrd-plugins-0.9.8-r2.apk181.8 KiB2022-10-24 23:23:53
pdf2svg-0.2.3-r1.apk4.4 KiB2022-10-24 23:23:53
pash-2.3.0-r2.apk4.3 KiB2022-10-24 23:23:53
olsrd-openrc-0.9.8-r2.apk1.9 KiB2022-10-24 23:23:53
opkg-utils-doc-0.4.5-r1.apk4.6 KiB2022-10-24 23:23:53
opkg-utils-0.4.5-r1.apk24.7 KiB2022-10-24 23:23:53
par-doc-1.53.0-r1.apk30.3 KiB2022-10-24 23:23:53
peg-doc-0.1.18-r1.apk13.7 KiB2022-10-24 23:23:53
p0f-3.09b-r2.apk84.9 KiB2022-10-24 23:23:53
olsrd-doc-0.9.8-r2.apk25.1 KiB2022-10-24 23:23:53
olsrd-0.9.8-r2.apk155.7 KiB2022-10-24 23:23:53
pongoos-loader-0_git20210704-r1.apk2.4 KiB2022-10-24 23:23:54
projectsandcastle-loader-0_git20200307-r1.apk5.0 KiB2022-10-24 23:23:54
qstardict-1.3-r1.apk460.0 KiB2022-10-24 23:23:54
pwauth-2.3.11-r2.apk4.0 KiB2022-10-24 23:23:54
ptylie-doc-0.2-r1.apk3.2 KiB2022-10-24 23:23:54
plplot-libs-5.15.0-r2.apk176.0 KiB2022-10-24 23:23:54
pmccabe-doc-2.8-r1.apk7.1 KiB2022-10-24 23:23:54
qstardict-doc-1.3-r1.apk10.9 KiB2022-10-24 23:23:54
ptylie-0.2-r1.apk10.1 KiB2022-10-24 23:23:54
pqiv-doc-2.12-r1.apk12.0 KiB2022-10-24 23:23:54
pwauth-doc-2.3.11-r2.apk6.8 KiB2022-10-24 23:23:54
plplot-5.15.0-r2.apk31.2 KiB2022-10-24 23:23:54
qperf-doc-0.4.11-r1.apk5.5 KiB2022-10-24 23:23:54
plplot-doc-5.15.0-r2.apk310.6 KiB2022-10-24 23:23:54
ptpd-doc-2.3.1-r1.apk20.3 KiB2022-10-24 23:23:54
plplot-dev-5.15.0-r2.apk59.0 KiB2022-10-24 23:23:54
rclone-browser-1.8.0-r1.apk312.9 KiB2022-10-24 23:23:54
pmccabe-2.8-r1.apk23.5 KiB2022-10-24 23:23:54
ptpd-openrc-2.3.1-r1.apk2.4 KiB2022-10-24 23:23:54
qperf-0.4.11-r1.apk30.7 KiB2022-10-24 23:23:54
pqiv-2.12-r1.apk59.2 KiB2022-10-24 23:23:54
ptpd-2.3.1-r1.apk174.9 KiB2022-10-24 23:23:54
remake-1.5-r1.apk124.4 KiB2022-10-24 23:23:55
remake-dev-1.5-r1.apk2.9 KiB2022-10-24 23:23:55
remake-doc-1.5-r1.apk201.7 KiB2022-10-24 23:23:55
reaver-wps-fork-t6x-1.6.6-r1.apk345.6 KiB2022-10-24 23:23:55
remake-make-1.5-r1.apk1.5 KiB2022-10-24 23:23:55
ry-0.5.2-r1.apk4.6 KiB2022-10-24 23:23:56
rsstail-2.1-r1.apk7.4 KiB2022-10-24 23:23:56
sbase-doc-0_git20210730-r2.apk58.3 KiB2022-10-24 23:23:56
rtptools-doc-1.22-r2.apk12.6 KiB2022-10-24 23:23:56
rtptools-1.22-r2.apk36.9 KiB2022-10-24 23:23:56
sbase-0_git20210730-r2.apk108.9 KiB2022-10-24 23:23:56
rvlprog-0.91-r1.apk22.2 KiB2022-10-24 23:23:56
rsstail-doc-2.1-r1.apk2.6 KiB2022-10-24 23:23:56
sblim-wbemcli-1.6.3-r1.apk89.8 KiB2022-10-24 23:23:56
ry-bash-completion-0.5.2-r1.apk2.0 KiB2022-10-24 23:23:56
ry-zsh-completion-0.5.2-r1.apk2.3 KiB2022-10-24 23:23:56
sblim-wbemcli-doc-1.6.3-r1.apk4.5 KiB2022-10-24 23:23:56
sedutil-doc-1.15.1-r1.apk3.1 KiB2022-10-24 23:23:58
shfm-0.4.2-r1.apk4.0 KiB2022-10-24 23:23:58
setroot-doc-2.0.2-r1.apk4.4 KiB2022-10-24 23:23:58
sdparm-doc-1.12-r1.apk19.3 KiB2022-10-24 23:23:58
sedutil-1.15.1-r1.apk173.3 KiB2022-10-24 23:23:58
sdparm-1.12-r1.apk133.1 KiB2022-10-24 23:23:58
shfm-doc-0.4.2-r1.apk6.1 KiB2022-10-24 23:23:58
scratch-doc-1.4.0.7-r1.apk2.6 KiB2022-10-24 23:23:58
scratch-1.4.0.7-r1.apk39.3 MiB2022-10-24 23:23:58
setroot-2.0.2-r1.apk10.7 KiB2022-10-24 23:23:58
shc-4.0.3-r1.apk18.1 KiB2022-10-24 23:23:58
simh-3.11.1-r1.apk2.6 MiB2022-10-24 23:23:59
squeak-vm-4.10.2.2614-r1.apk388.7 KiB2022-10-24 23:24:01
sqlar-doc-0_git20180107-r1.apk3.3 KiB2022-10-24 23:24:01
sthttpd-openrc-2.27.1-r2.apk1.9 KiB2022-10-24 23:24:01
spark-2.8.3-r1.apk28.9 MiB2022-10-24 23:24:01
sthttpd-doc-2.27.1-r2.apk18.2 KiB2022-10-24 23:24:01
sqlar-0_git20180107-r1.apk14.1 KiB2022-10-24 23:24:01
squeak-vm-doc-4.10.2.2614-r1.apk12.3 KiB2022-10-24 23:24:01
sthttpd-2.27.1-r2.apk58.5 KiB2022-10-24 23:24:01
wl-clipboard-x11-doc-5-r3.apk2.9 KiB2022-10-24 23:24:02
xgalaga-doc-2.1.1.0-r1.apk2.5 KiB2022-10-24 23:24:02
timew-bash-completion-1.4.3-r1.apk2.8 KiB2022-10-24 23:24:02
wpa_actiond-1.4-r7.apk8.7 KiB2022-10-24 23:24:02
xgalaga-2.1.1.0-r1.apk269.3 KiB2022-10-24 23:24:02
vbindiff-doc-3.0_beta5-r1.apk5.5 KiB2022-10-24 23:24:02
ubase-20200605-r2.apk42.8 KiB2022-10-24 23:24:02
wordgrinder-0.8-r1.apk424.0 KiB2022-10-24 23:24:02
yodl-4.02.00-r1.apk124.0 KiB2022-10-24 23:24:02
wpa_actiond-openrc-1.4-r7.apk2.2 KiB2022-10-24 23:24:02
wordgrinder-doc-0.8-r1.apk18.4 KiB2022-10-24 23:24:02
volumeicon-lang-0.5.1-r1.apk3.8 KiB2022-10-24 23:24:02
volumeicon-0.5.1-r1.apk39.5 KiB2022-10-24 23:24:02
time-doc-1.9-r1.apk15.0 KiB2022-10-24 23:24:02
ucspi-tcp-0.88-r2.apk98.5 KiB2022-10-24 23:24:02
wlavu-0_git20201101-r1.apk10.7 KiB2022-10-24 23:24:02
topgit-doc-0.19.13-r1.apk73.4 KiB2022-10-24 23:24:02
uefitool-0.28.0-r1.apk349.9 KiB2022-10-24 23:24:02
xvkbd-4.1-r2.apk290.7 KiB2022-10-24 23:24:02
time-1.9-r1.apk11.4 KiB2022-10-24 23:24:02
zita-njbridge-0.4.8-r1.apk23.9 KiB2022-10-24 23:24:02
xsoldier-doc-1.8-r1.apk2.7 KiB2022-10-24 23:24:02
tncattach-doc-0.1.9-r1.apk3.9 KiB2022-10-24 23:24:02
tncattach-0.1.9-r1.apk19.8 KiB2022-10-24 23:24:02
wl-clipboard-x11-5-r3.apk3.4 KiB2022-10-24 23:24:02
timew-doc-1.4.3-r1.apk53.2 KiB2022-10-24 23:24:02
zita-njbridge-doc-0.4.8-r1.apk5.2 KiB2022-10-24 23:24:02
wmi-client-1.3.16-r4.apk2.1 MiB2022-10-24 23:24:02
yodl-doc-4.02.00-r1.apk59.0 KiB2022-10-24 23:24:02
ubase-doc-20200605-r2.apk21.3 KiB2022-10-24 23:24:02
xsoldier-1.8-r1.apk65.5 KiB2022-10-24 23:24:02
topgit-0.19.13-r1.apk126.7 KiB2022-10-24 23:24:02
timew-1.4.3-r1.apk231.5 KiB2022-10-24 23:24:02
topgit-bash-completion-0.19.13-r1.apk4.0 KiB2022-10-24 23:24:02
xvkbd-doc-4.1-r2.apk10.8 KiB2022-10-24 23:24:02
vbindiff-3.0_beta5-r1.apk17.4 KiB2022-10-24 23:24:02
xtitle-0.4.4-r1.apk6.0 KiB2022-10-24 23:24:02
wbg-1.1.0-r0.apk11.4 KiB2022-10-25 16:50:59
cpuburn-1.4a_git20160316-r2.apk10.5 KiB2022-10-26 18:28:51
termcolor-dev-2.1.0-r0.apk6.8 KiB2022-10-28 14:12:27
termcolor-2.1.0-r0.apk1.5 KiB2022-10-28 14:12:27
libblastrampoline-5.2.0-r0.apk281.8 KiB2022-10-28 14:15:26
libblastrampoline-dev-5.2.0-r0.apk94.4 KiB2022-10-28 14:15:26
git-secret-doc-0.5.0-r0.apk17.1 KiB2022-10-28 14:18:03
git-secret-0.5.0-r0.apk14.8 KiB2022-10-28 14:18:03
materia-compact-20210322-r1.apk1.7 KiB2022-10-28 17:16:24
materia-dark-compact-gnome-shell-20210322-r1.apk31.6 KiB2022-10-28 17:16:24
materia-dark-compact-20210322-r1.apk1.7 KiB2022-10-28 17:16:24
materia-gtk3-20210322-r1.apk64.7 KiB2022-10-28 17:16:24
materia-compact-chromium-20210322-r1.apk5.7 KiB2022-10-28 17:16:24
materia-gtk2-20210322-r1.apk37.8 KiB2022-10-28 17:16:24
materia-dark-chromium-20210322-r1.apk5.7 KiB2022-10-28 17:16:24
materia-compact-gnome-shell-20210322-r1.apk31.6 KiB2022-10-28 17:16:24
materia-dark-gnome-shell-20210322-r1.apk31.5 KiB2022-10-28 17:16:24
materia-chromium-20210322-r1.apk5.7 KiB2022-10-28 17:16:24
materia-compact-gtk2-20210322-r1.apk37.8 KiB2022-10-28 17:16:24
materia-dark-gtk2-20210322-r1.apk37.7 KiB2022-10-28 17:16:24
materia-compact-gtk3-20210322-r1.apk64.5 KiB2022-10-28 17:16:24
materia-20210322-r1.apk1.7 KiB2022-10-28 17:16:24
materia-dark-gtk3-20210322-r1.apk41.2 KiB2022-10-28 17:16:24
materia-dark-compact-gtk3-20210322-r1.apk41.2 KiB2022-10-28 17:16:24
materia-gnome-shell-20210322-r1.apk31.5 KiB2022-10-28 17:16:24
materia-dark-compact-chromium-20210322-r1.apk5.7 KiB2022-10-28 17:16:24
materia-gtk-theme-20210322-r1.apk151.1 KiB2022-10-28 17:16:24
materia-dark-compact-gtk2-20210322-r1.apk37.7 KiB2022-10-28 17:16:24
materia-dark-20210322-r1.apk1.7 KiB2022-10-28 17:16:24
libantic-dev-0.2.5-r0.apk6.4 KiB2022-11-02 02:35:43
libantic-0.2.5-r0.apk34.5 KiB2022-11-02 02:35:43
freediameter-extensions-1.5.0-r1.apk426.8 KiB2022-11-06 10:59:31
freediameter-1.5.0-r1.apk9.2 KiB2022-11-06 10:59:31
freediameter-dev-1.5.0-r1.apk53.8 KiB2022-11-06 10:59:31
freediameter-libfdcore-1.5.0-r1.apk179.6 KiB2022-11-06 10:59:31
freediameter-libfdproto-1.5.0-r1.apk93.3 KiB2022-11-06 10:59:31
console_bridge-dev-1.0.2-r0.apk4.7 KiB2022-11-08 23:16:14
console_bridge-1.0.2-r0.apk9.0 KiB2022-11-08 23:16:14
php82-pecl-teds-1.3.0-r0.apk101.5 KiB2022-11-10 14:41:48
xcompmgr-doc-1.1.9-r0.apk2.6 KiB2022-11-12 20:48:29
xcompmgr-1.1.9-r0.apk13.8 KiB2022-11-12 20:48:29
fatrace-doc-0.17.0-r0.apk3.3 KiB2022-11-17 06:58:30
fatrace-0.17.0-r0.apk10.0 KiB2022-11-17 06:58:30
libtinycbor-0.6.0-r1.apk16.9 KiB2022-11-17 06:58:46
tinycbor-dev-0.6.0-r1.apk8.4 KiB2022-11-17 06:58:54
tinycbor-0.6.0-r1.apk14.3 KiB2022-11-17 06:58:54
linux-timemachine-1.3.2-r0.apk5.1 KiB2022-11-24 21:24:33
py3-pycolorterm-0.2.1-r5.apk6.1 KiB2022-11-24 21:25:03
py3-unicorn-hat-2.1.2-r5.apk8.7 KiB2022-11-24 21:25:06
swappy-doc-1.5.1-r0.apk3.7 KiB2022-11-24 21:25:13
swappy-1.5.1-r0.apk25.9 KiB2022-11-24 21:25:13
swappy-lang-1.5.1-r0.apk3.6 KiB2022-11-24 21:25:13
libwhich-1.2.0-r0.apk4.5 KiB2022-11-26 01:29:42
php81-pecl-immutable_cache-6.1.0-r0.apk35.8 KiB2022-12-04 03:49:45
php82-pecl-immutable_cache-6.1.0-r0.apk36.0 KiB2022-12-04 03:49:45
xfd-1.1.4-r0.apk12.3 KiB2022-12-04 09:07:48
xfd-doc-1.1.4-r0.apk4.9 KiB2022-12-04 09:07:48
hunspell-ca-es-3.0.7-r0.apk731.3 KiB2022-12-04 12:25:25
admesh-0.98.5-r0.apk23.7 KiB2022-12-05 14:37:19
admesh-doc-0.98.5-r0.apk23.4 KiB2022-12-05 14:37:19
admesh-dev-0.98.5-r0.apk4.0 KiB2022-12-05 14:37:19
py3-spidev-3.6-r0.apk13.1 KiB2022-12-09 14:05:20
font-chivo-0_git20221110-r0.apk792.4 KiB2022-12-09 22:19:05
font-chivo-mono-0_git20221110-r0.apk625.9 KiB2022-12-09 22:19:05
php82-pecl-oauth-2.0.8-r0.apk33.5 KiB2022-12-12 16:42:52
mmix-0_git20221025-r0.apk164.7 KiB2022-12-14 11:16:26
xsane-lang-0.999-r1.apk440.4 KiB2022-12-16 07:40:20
xsane-0.999-r1.apk1.5 MiB2022-12-16 07:40:20
xsane-doc-0.999-r1.apk4.3 KiB2022-12-16 07:40:20
xfce4-places-plugin-lang-1.8.3-r0.apk54.0 KiB2022-12-16 10:10:59
xfce4-places-plugin-1.8.3-r0.apk25.4 KiB2022-12-16 10:10:59
pdfcrack-0.20-r0.apk22.3 KiB2022-12-17 22:22:20
libcec-rpi-dev-6.0.2-r3.apk24.6 KiB2022-12-18 05:57:14
py3-libcec-rpi-6.0.2-r3.apk97.3 KiB2022-12-18 05:57:14
libcec-rpi-6.0.2-r3.apk154.2 KiB2022-12-18 05:57:14
blackbox-1.20220610-r0.apk15.7 KiB2022-12-22 17:46:44
bake-2.5.1-r0.apk98.5 KiB2022-12-22 22:49:01
py3-unoconv-0.9.0-r0.apk19.0 KiB2022-12-23 00:02:26
gpg-remailer-doc-3.04.07-r0.apk9.5 KiB2022-12-30 11:43:38
gpg-remailer-3.04.07-r0.apk40.3 KiB2022-12-30 11:43:38
mm-common-doc-1.0.5-r0.apk31.7 KiB2023-01-01 22:06:33
mm-common-1.0.5-r0.apk473.0 KiB2023-01-01 22:06:33
font-tiresias-0_git20200704-r0.apk568.3 KiB2023-01-02 22:41:44
font-tiresias-doc-0_git20200704-r0.apk58.1 KiB2023-01-02 22:41:45
barcode-0.99-r1.apk43.3 KiB2023-01-03 13:56:38
apt-mirror-doc-0.5.4-r0.apk4.6 KiB2023-01-05 22:23:03
apt-mirror-0.5.4-r0.apk9.4 KiB2023-01-05 22:23:03
flint-2.9.0-r1.apk4.0 MiB2023-01-07 11:39:13
flint-dev-2.9.0-r1.apk311.4 KiB2023-01-07 11:39:13
arc-lighter-gtk2-20221218-r0.apk37.5 KiB2023-01-07 13:34:25
arc-xfwm-20221218-r0.apk7.7 KiB2023-01-07 13:34:25
arc-dark-gtk3-20221218-r0.apk93.3 KiB2023-01-07 13:34:25
arc-gtk4-20221218-r0.apk113.5 KiB2023-01-07 13:34:25
arc-gnome-20221218-r0.apk28.6 KiB2023-01-07 13:34:25
arc-dark-gtk2-20221218-r0.apk38.4 KiB2023-01-07 13:34:25
arc-theme-20221218-r0.apk1.4 KiB2023-01-07 13:34:25
arc-lighter-gtk3-20221218-r0.apk124.6 KiB2023-01-07 13:34:25
arc-darker-20221218-r0.apk1.8 KiB2023-01-07 13:34:25
arc-darker-gtk4-20221218-r0.apk110.2 KiB2023-01-07 13:34:25
arc-darker-xfwm-20221218-r0.apk7.9 KiB2023-01-07 13:34:25
arc-darker-metacity-20221218-r0.apk17.5 KiB2023-01-07 13:34:25
arc-dark-20221218-r0.apk1.8 KiB2023-01-07 13:34:25
arc-lighter-metacity-20221218-r0.apk17.3 KiB2023-01-07 13:34:25
arc-darker-gtk3-20221218-r0.apk123.7 KiB2023-01-07 13:34:25
arc-20221218-r0.apk1.7 KiB2023-01-07 13:34:25
arc-darker-gtk2-20221218-r0.apk38.5 KiB2023-01-07 13:34:25
arc-lighter-20221218-r0.apk1.8 KiB2023-01-07 13:34:25
arc-dark-gtk4-20221218-r0.apk86.2 KiB2023-01-07 13:34:25
arc-dark-metacity-20221218-r0.apk17.5 KiB2023-01-07 13:34:25
arc-metacity-20221218-r0.apk17.2 KiB2023-01-07 13:34:25
arc-dark-xfwm-20221218-r0.apk7.9 KiB2023-01-07 13:34:25
arc-cinnamon-20221218-r0.apk68.0 KiB2023-01-07 13:34:25
arc-gtk3-20221218-r0.apk125.9 KiB2023-01-07 13:34:25
arc-dark-cinnamon-20221218-r0.apk68.4 KiB2023-01-07 13:34:25
arc-dark-gnome-20221218-r0.apk27.1 KiB2023-01-07 13:34:25
arc-lighter-gtk4-20221218-r0.apk112.8 KiB2023-01-07 13:34:25
arc-gtk2-20221218-r0.apk37.5 KiB2023-01-07 13:34:25
arc-lighter-xfwm-20221218-r0.apk7.7 KiB2023-01-07 13:34:25
nymphcast-mediaserver-0.1-r2.apk56.6 KiB2023-01-08 06:08:52
nymphcast-mediaserver-nftables-0.1-r2.apk1.7 KiB2023-01-08 06:08:52
wlrobs-1.0-r4.apk17.2 KiB2023-01-08 06:14:02
pimd-dense-openrc-2.1.0-r0.apk1.9 KiB2023-01-15 00:03:57
pimd-dense-2.1.0-r0.apk46.1 KiB2023-01-15 00:03:57
pimd-dense-doc-2.1.0-r0.apk19.7 KiB2023-01-15 00:03:57
wsmancli-doc-2.6.2-r0.apk3.7 KiB2023-01-15 00:04:03
wsmancli-2.6.2-r0.apk19.4 KiB2023-01-15 00:04:03
hyx-2021.06.09-r0.apk14.4 KiB2023-01-17 18:52:44
tcl-curl-7.22.0-r0.apk26.9 KiB2023-01-18 19:33:45
tcl-curl-doc-7.22.0-r0.apk37.7 KiB2023-01-18 19:33:45
dehydrated-0.7.1-r0.apk26.4 KiB2023-01-20 22:16:07
tayga-0.9.2-r0.apk19.8 KiB2023-01-23 07:26:38
tayga-doc-0.9.2-r0.apk5.6 KiB2023-01-23 07:26:38
grip-4.2.4-r0.apk372.1 KiB2023-01-26 19:27:33
grip-lang-4.2.4-r0.apk144.4 KiB2023-01-26 19:27:33
grip-doc-4.2.4-r0.apk6.2 KiB2023-01-26 19:27:33
flare-game-1.14-r0.apk2.2 KiB2023-01-26 19:28:27
flare-engine-1.14-r0.apk4.4 MiB2023-01-26 19:28:27
flare-engine-doc-1.14-r0.apk2.5 KiB2023-01-26 19:28:27
clevis-bash-completion-19-r0.apk2.1 KiB2023-01-29 19:27:40
clevis-dbg-19-r0.apk51.4 KiB2023-01-29 19:27:40
clevis-19-r0.apk39.8 KiB2023-01-29 19:27:40
clevis-doc-19-r0.apk22.2 KiB2023-01-29 19:27:40
gnome-common-3.18.0-r3.apk11.5 KiB2023-01-29 21:03:51
lsdvd-doc-0.17-r0.apk2.5 KiB2023-01-31 20:08:06
lsdvd-0.17-r0.apk12.2 KiB2023-01-31 20:08:06
mpop-lang-1.4.18-r0.apk103.0 KiB2023-01-31 22:00:04
mpop-doc-1.4.18-r0.apk33.1 KiB2023-01-31 22:00:04
mpop-1.4.18-r0.apk61.5 KiB2023-01-31 22:00:04
mpop-vim-1.4.18-r0.apk2.7 KiB2023-01-31 22:00:04
pipectl-0.4.1-r1.apk5.4 KiB2023-02-01 19:40:34
pipectl-doc-0.4.1-r1.apk3.0 KiB2023-02-01 19:40:34
enjoy-0.3-r1.apk10.0 KiB2023-02-03 01:29:09
roswell-22.12.14.113-r0.apk109.7 KiB2023-02-03 07:26:43
roswell-doc-22.12.14.113-r0.apk17.6 KiB2023-02-03 07:26:43
raspberrypi-usbboot-20210701-r2.apk1.5 MiB2023-02-03 07:35:26
xvidtune-1.0.4-r0.apk15.5 KiB2023-02-05 00:37:48
xvidtune-doc-1.0.4-r0.apk4.2 KiB2023-02-05 00:37:48
zafiro-icon-theme-1.3-r0.apk19.2 MiB2023-02-05 02:30:20
sblg-0.5.11-r0.apk33.4 KiB2023-02-06 19:30:22
sblg-doc-0.5.11-r0.apk1.3 MiB2023-02-06 19:30:22
oh-my-zsh-doc-0_git20220104-r1.apk7.2 KiB2023-02-10 00:05:37
oh-my-zsh-0_git20220104-r1.apk1020.7 KiB2023-02-10 00:05:37
yices2-2.6.4-r0.apk1.8 MiB2023-02-10 05:17:09
yices2-dev-2.6.4-r0.apk41.1 KiB2023-02-10 05:17:09
yices2-libs-2.6.4-r0.apk674.1 KiB2023-02-10 05:17:10
clinfo-doc-3.0.23.01.25-r0.apk6.5 KiB2023-02-10 10:38:56
clinfo-3.0.23.01.25-r0.apk41.8 KiB2023-02-10 10:38:56
xob-doc-0.3-r0.apk5.9 KiB2023-02-12 00:20:23
xob-0.3-r0.apk8.6 KiB2023-02-12 00:20:23
spnavcfg-1.1-r0.apk34.2 KiB2023-02-13 06:41:04
chocolate-doom-3.0.1-r3.apk1.5 MiB2023-02-13 14:24:10
chocolate-doom-doc-3.0.1-r3.apk121.9 KiB2023-02-13 14:24:10
qpdfview-doc-0.5-r0.apk4.3 KiB2023-02-13 14:24:12
solanum-3.0.1_git20220607-r1.apk353.1 KiB2023-02-13 14:24:12
qpdfview-0.5-r0.apk958.2 KiB2023-02-13 14:24:12
solanum-lang-3.0.1_git20220607-r1.apk29.9 KiB2023-02-13 14:24:12
scap-workbench-1.2.1-r2.apk212.7 KiB2023-02-13 14:26:18
scap-workbench-doc-1.2.1-r2.apk1.6 MiB2023-02-13 14:26:18
speedcrunch-0.12-r3.apk1.1 MiB2023-02-16 06:37:21
lua-resty-redis-0.29-r0.apk5.3 KiB2023-02-16 20:58:22
mame-0.251-r0.apk82.7 MiB2023-02-22 11:34:32
mame-arcade-0.251-r0.apk57.3 MiB2023-02-22 11:34:36
mame-common-0.251-r0.apk2.7 KiB2023-02-22 11:34:36
mame-doc-0.251-r0.apk24.1 KiB2023-02-22 11:34:37
mame-lang-0.251-r0.apk1.4 MiB2023-02-22 11:34:37
mame-data-0.251-r0.apk19.1 MiB2023-02-22 11:34:37
mame-plugins-0.251-r0.apk166.3 KiB2023-02-22 11:34:40
mame-tools-0.251-r0.apk2.2 MiB2023-02-22 11:34:40
mame-mess-0.251-r0.apk44.1 MiB2023-02-22 11:34:40
serialdv-libs-1.1.4-r0.apk48.8 KiB2023-02-22 11:38:33
aptdec-dev-1.8.0-r0.apk3.5 KiB2023-02-22 11:38:33
serialdv-dev-1.1.4-r0.apk5.4 KiB2023-02-22 11:38:33
aptdec-1.8.0-r0.apk84.3 KiB2023-02-22 11:38:33
serialdv-1.1.4-r0.apk6.3 KiB2023-02-22 11:38:33
aptdec-libs-1.8.0-r0.apk13.2 KiB2023-02-22 11:38:33
getdns-doc-1.7.3-r0.apk101.7 KiB2023-02-22 17:26:30
stubby-openrc-0.4.3-r0.apk1.9 KiB2023-02-22 17:26:30
getdns-dev-1.7.3-r0.apk34.0 KiB2023-02-22 17:26:30
stubby-doc-0.4.3-r0.apk13.1 KiB2023-02-22 17:26:30
stubby-0.4.3-r0.apk19.9 KiB2023-02-22 17:26:30
getdns-libs-1.7.3-r0.apk155.0 KiB2023-02-22 17:26:30
getdns-1.7.3-r0.apk28.5 KiB2023-02-22 17:26:30
nkk-dev-0_git20221010-r0.apk2.9 KiB2023-02-23 19:39:41
nkk-doc-0_git20221010-r0.apk7.0 KiB2023-02-23 19:39:41
nkk-0_git20221010-r0.apk12.3 KiB2023-02-23 19:39:41
orage-4.18.0-r0.apk559.5 KiB2023-02-23 22:55:28
orage-lang-4.18.0-r0.apk1.2 MiB2023-02-23 22:55:29
sc3-plugins-3.13.0-r1.apk8.0 MiB2023-02-24 13:24:09
hiprompt-gtk-py-0_git20230225-r0.apk7.1 KiB2023-02-25 17:58:26
font-material-icons-4.0.0-r0.apk651.9 KiB2023-02-27 00:05:48
optee-client-3.20.0-r0.apk18.3 KiB2023-03-01 17:44:01
optee-client-dev-3.20.0-r0.apk28.6 KiB2023-03-01 17:44:01
optee-client-libs-3.20.0-r0.apk30.0 KiB2023-03-01 17:44:01
py3-kerberos-1.3.1-r4.apk15.7 KiB2023-03-02 00:08:06
tmate-2.4.0-r4.apk217.7 KiB2023-03-05 02:21:13
tmate-doc-2.4.0-r4.apk71.7 KiB2023-03-05 02:21:13
drawing-1.0.2-r0.apk3.2 MiB2023-03-06 13:05:11
drawing-lang-1.0.2-r0.apk272.0 KiB2023-03-06 13:05:13
tup-doc-0.7.11-r0.apk20.9 KiB2023-03-06 13:10:51
tup-vim-0.7.11-r0.apk2.6 KiB2023-03-06 13:10:51
tup-0.7.11-r0.apk207.7 KiB2023-03-06 13:10:51
stw-doc-0.3-r0.apk2.5 KiB2023-03-06 14:44:18
stw-0.3-r0.apk6.9 KiB2023-03-06 14:44:18
advancemame-3.9-r4.apk11.7 MiB2023-03-15 17:01:01
advancemame-data-3.9-r4.apk5.8 MiB2023-03-15 17:01:02
advancemame-mess-3.9-r4.apk3.6 MiB2023-03-15 17:01:02
advancemame-menu-3.9-r4.apk876.1 KiB2023-03-15 17:01:02
advancemame-doc-3.9-r4.apk373.6 KiB2023-03-15 17:01:02
avara-0.7.1-r0.apk21.3 MiB2023-03-15 17:01:05
csol-1.6.0-r0.apk33.4 KiB2023-03-15 17:01:05
csol-doc-1.6.0-r0.apk3.8 KiB2023-03-15 17:01:05
ovpncc-doc-0.1_rc1-r0.apk6.5 KiB2023-03-15 17:02:15
ovpncc-0.1_rc1-r0.apk11.9 KiB2023-03-15 17:02:15
sc-im-doc-0.8.3-r0.apk4.8 KiB2023-03-15 17:02:26
sc-im-0.8.3-r0.apk152.4 KiB2023-03-15 17:02:26
ssdfs-tools-dev-4.09-r0.apk18.5 KiB2023-03-15 17:02:27
ssdfs-tools-4.09-r0.apk96.3 KiB2023-03-15 17:02:27
watchdog-doc-5.16-r1.apk14.2 KiB2023-03-15 17:02:40
watchdog-5.16-r1.apk37.3 KiB2023-03-15 17:02:40
debconf-1.5.82-r0.apk69.4 KiB2023-03-16 14:38:29
debconf-lang-1.5.82-r0.apk132.4 KiB2023-03-16 14:38:29
debconf-doc-1.5.82-r0.apk26.8 KiB2023-03-16 14:38:29
debconf-bash-completion-1.5.82-r0.apk1.9 KiB2023-03-16 14:38:29
debconf-utils-1.5.82-r0.apk6.7 KiB2023-03-16 14:38:29
lua-resty-upload-0.11-r0.apk3.6 KiB2023-03-17 07:14:46
qoi-0.0.0_git20230312-r0.apk1.5 KiB2023-03-17 07:14:46
qoi-dev-0.0.0_git20230312-r0.apk6.8 KiB2023-03-17 07:14:46
qoiconv-0.0.0_git20230312-r0.apk25.2 KiB2023-03-17 07:14:46
portsmf-dev-239-r1.apk20.3 KiB2023-03-17 18:52:12
portsmf-239-r1.apk47.0 KiB2023-03-17 18:52:12
burp-3.1.4-r0.apk150.7 KiB2023-03-17 19:00:13
burp-server-3.1.4-r0.apk35.9 KiB2023-03-17 19:00:13
burp-doc-3.1.4-r0.apk99.4 KiB2023-03-17 19:00:13
qsstv-9.5.8-r2.apk826.2 KiB2023-03-17 19:00:14
mlxl-0.1-r0.apk5.3 KiB2023-03-18 10:50:14
xa-2.3.14-r0.apk46.2 KiB2023-03-18 12:51:05
xa-doc-2.3.14-r0.apk15.3 KiB2023-03-18 12:51:05
zita-resampler-dev-1.10.1-r0.apk3.4 KiB2023-03-18 16:58:47
zita-resampler-doc-1.10.1-r0.apk4.1 KiB2023-03-18 16:58:47
zita-resampler-1.10.1-r0.apk15.6 KiB2023-03-18 16:58:47
fdm-doc-2.2-r0.apk12.9 KiB2023-03-19 05:16:55
fdm-2.2-r0.apk101.1 KiB2023-03-19 05:16:55
materia-dark-kde-yakuake-20220823-r0.apk21.7 KiB2023-03-19 22:39:24
materia-dark-compact-kde-kvantum-20220823-r0.apk1.5 KiB2023-03-19 22:39:24
materia-kde-20220823-r0.apk19.3 KiB2023-03-19 22:39:24
materia-kde-konsole-20220823-r0.apk1.8 KiB2023-03-19 22:39:24
materia-dark-kde-plasma-20220823-r0.apk502.9 KiB2023-03-19 22:39:24
materia-light-kde-kvantum-20220823-r0.apk29.6 KiB2023-03-19 22:39:24
materia-dark-kde-konsole-20220823-r0.apk1.8 KiB2023-03-19 22:39:24
materia-dark-kde-kvantum-20220823-r0.apk30.0 KiB2023-03-19 22:39:24
materia-light-kde-plasma-20220823-r0.apk20.1 KiB2023-03-19 22:39:24
materia-kde-plasma-20220823-r0.apk1.7 MiB2023-03-19 22:39:24
materia-kde-kvantum-20220823-r0.apk30.0 KiB2023-03-19 22:39:24
materia-light-compact-kde-kvantum-20220823-r0.apk1.5 KiB2023-03-19 22:39:24
materia-light-kde-yakuake-20220823-r0.apk21.5 KiB2023-03-19 22:39:24
megatools-bash-completion-1.11.1.20230212-r1.apk4.1 KiB2023-03-20 17:04:18
megatools-doc-1.11.1.20230212-r1.apk52.2 KiB2023-03-20 17:04:18
megatools-1.11.1.20230212-r1.apk60.4 KiB2023-03-20 17:04:18
ol-2.4-r0.apk974.4 KiB2023-03-28 07:46:42
ol-doc-2.4-r0.apk2.6 KiB2023-03-28 07:46:42
vmtouch-doc-1.3.1-r0.apk8.0 KiB2023-03-28 12:18:21
vmtouch-1.3.1-r0.apk12.3 KiB2023-03-28 12:18:21
spike-1.1.0-r0.apk919.7 KiB2023-03-28 17:01:46
osmctools-0.9-r0.apk123.3 KiB2023-03-31 21:24:43
crispy-doom-doc-6.0-r0.apk85.3 KiB2023-03-31 22:55:20
crispy-doom-6.0-r0.apk1.6 MiB2023-03-31 22:55:20
litterbox-doc-1.9-r1.apk7.2 KiB2023-04-08 02:33:58
litterbox-1.9-r1.apk27.3 KiB2023-04-08 02:33:58
aqemu-0.9.4-r3.apk1.5 MiB2023-04-08 10:52:51
aqemu-doc-0.9.4-r3.apk7.5 KiB2023-04-08 10:52:51
psi-notify-1.3.1-r0.apk10.8 KiB2023-04-09 12:03:23
t2sz-1.1.2-r0.apk8.0 KiB2023-04-13 21:28:10
gtksourceviewmm3-3.21.3-r2.apk91.3 KiB2023-04-14 11:59:22
gtksourceviewmm4-3.91.1-r2.apk88.7 KiB2023-04-14 11:59:22
gtksourceviewmm3-devhelp-3.21.3-r2.apk28.4 KiB2023-04-14 11:59:22
gtksourceviewmm4-devhelp-3.91.1-r2.apk25.8 KiB2023-04-14 11:59:22
gtksourceviewmm3-doc-3.21.3-r2.apk1.3 MiB2023-04-14 11:59:22
gtksourceviewmm4-doc-3.91.1-r2.apk1.4 MiB2023-04-14 11:59:22
gtksourceviewmm3-dev-3.21.3-r2.apk56.8 KiB2023-04-14 11:59:22
gtksourceviewmm4-dev-3.91.1-r2.apk54.1 KiB2023-04-14 11:59:22
clipit-1.4.5-r2.apk63.0 KiB2023-04-16 18:48:46
clipit-doc-1.4.5-r2.apk2.4 KiB2023-04-16 18:48:46
clatd-1.6-r0.apk12.6 KiB2023-04-17 23:02:13
qt5ct-dev-1.7-r0.apk1.5 KiB2023-04-18 11:42:15
qt5ct-1.7-r0.apk202.8 KiB2023-04-18 11:42:15
xfce4-timer-plugin-1.7.2-r0.apk24.3 KiB2023-04-18 19:04:14
xfce4-timer-plugin-lang-1.7.2-r0.apk48.8 KiB2023-04-18 19:04:14
xfce4-calculator-plugin-lang-0.7.2-r0.apk22.1 KiB2023-04-19 17:45:21
xfce4-calculator-plugin-0.7.2-r0.apk62.2 KiB2023-04-19 17:45:21
py3-actdiag-pyc-3.0.0-r3.apk22.5 KiB2023-04-21 22:36:09
py3-actdiag-3.0.0-r3.apk12.8 KiB2023-04-21 22:36:09
tpm2-pkcs11-dev-1.9.0-r1.apk1.9 KiB2023-04-22 10:34:45
tpm2-pkcs11-pyc-1.9.0-r1.apk74.9 KiB2023-04-22 10:34:45
tpm2-pkcs11-1.9.0-r1.apk121.2 KiB2023-04-22 10:34:45
castero-pyc-0.9.5-r2.apk98.8 KiB2023-04-24 01:28:55
castero-0.9.5-r2.apk49.7 KiB2023-04-24 01:28:55
mypaint-2.0.1-r0.apk3.8 MiB2023-04-24 01:29:44
mypaint-lang-2.0.1-r0.apk1.2 MiB2023-04-24 01:29:45
mypaint-pyc-2.0.1-r0.apk1.2 MiB2023-04-24 01:29:45
paperde-0.2.1-r1.apk595.3 KiB2023-04-24 01:29:48
paperde-dev-0.2.1-r1.apk5.2 KiB2023-04-24 01:29:48
py3-clickclick-pyc-20.10.2-r2.apk10.4 KiB2023-04-24 01:29:49
py3-clickclick-20.10.2-r2.apk7.7 KiB2023-04-24 01:29:49
py3-furl-2.1.3-r2.apk20.0 KiB2023-04-24 01:29:51
py3-furl-pyc-2.1.3-r2.apk33.9 KiB2023-04-24 01:29:51
py3-glob2-pyc-0.7-r5.apk13.5 KiB2023-04-24 01:29:51
py3-glob2-0.7-r5.apk9.1 KiB2023-04-24 01:29:51
qt-wayland-shell-helpers-dev-0.1.1-r3.apk3.9 KiB2023-04-24 13:19:52
qt-wayland-shell-helpers-0.1.1-r3.apk11.7 KiB2023-04-24 13:19:52
py3-pygelbooru-pyc-0.5.0-r2.apk11.6 KiB2023-04-25 08:59:06
py3-pygelbooru-0.5.0-r2.apk8.0 KiB2023-04-25 08:59:06
py3-sphinx-theme-quark-0.6.0-r2.apk10.4 KiB2023-04-25 08:59:08
py3-sphinx-theme-quark-pyc-0.6.0-r2.apk8.4 KiB2023-04-25 08:59:08
py3-sphinxcontrib-actdiag-pyc-3.0.0-r2.apk9.7 KiB2023-04-25 08:59:08
py3-sphinxcontrib-actdiag-3.0.0-r2.apk6.6 KiB2023-04-25 08:59:08
py3-testresources-pyc-2.0.1-r5.apk16.6 KiB2023-04-25 08:59:09
py3-testresources-2.0.1-r5.apk16.0 KiB2023-04-25 08:59:09
apt-dater-doc-1.0.4-r3.apk9.9 KiB2023-04-29 17:30:31
apt-dater-1.0.4-r3.apk52.4 KiB2023-04-29 17:30:31
apt-dater-lang-1.0.4-r3.apk13.2 KiB2023-04-29 17:30:31
elementary-photos-2.8.0-r1.apk1.0 MiB2023-04-29 17:30:33
cluster-glue-libs-1.0.12-r5.apk103.0 KiB2023-04-29 17:30:33
elementary-photos-lang-2.8.0-r1.apk1.3 MiB2023-04-29 17:30:33
cluster-glue-1.0.12-r5.apk242.2 KiB2023-04-29 17:30:33
elementary-photos-publishing-2.8.0-r1.apk205.6 KiB2023-04-29 17:30:33
cluster-glue-dev-1.0.12-r5.apk1014.3 KiB2023-04-29 17:30:33
cluster-glue-doc-1.0.12-r5.apk32.9 KiB2023-04-29 17:30:33
kannel-dev-1.5.0-r11.apk881.8 KiB2023-04-29 17:30:34
kannel-doc-1.5.0-r11.apk6.2 KiB2023-04-29 17:30:34
libvisio2svg-dev-0.5.5-r3.apk2.9 KiB2023-04-29 17:30:34
libvisio2svg-0.5.5-r3.apk12.8 KiB2023-04-29 17:30:34
libvisio2svg-utils-0.5.5-r3.apk101.2 KiB2023-04-29 17:30:34
libxml++-5.0.3-r1.apk52.5 KiB2023-04-29 17:30:34
kannel-1.5.0-r11.apk5.6 MiB2023-04-29 17:30:34
libxml++-dev-5.0.3-r1.apk30.3 KiB2023-04-29 17:30:34
monetdb-dev-11.33.11-r4.apk77.1 KiB2023-04-29 17:30:46
nzbget-21.1-r2.apk980.8 KiB2023-04-29 17:30:46
monetdb-doc-11.33.11-r4.apk320.9 KiB2023-04-29 17:30:46
openmg-0.0.9-r0.apk100.0 KiB2023-04-29 17:30:46
monetdb-11.33.11-r4.apk2.1 MiB2023-04-29 17:30:46
nzbget-doc-21.1-r2.apk68.8 KiB2023-04-29 17:30:46
php81-pecl-xmlrpc-1.0.0_rc3-r1.apk30.7 KiB2023-04-29 17:30:47
sane-airscan-doc-0.99.27-r1.apk5.6 KiB2023-04-29 17:30:51
stardict-3.0.6-r6.apk894.4 KiB2023-04-29 17:30:51
stardict-doc-3.0.6-r6.apk2.2 KiB2023-04-29 17:30:51
sane-airscan-0.99.27-r1.apk163.1 KiB2023-04-29 17:30:51
vcdimager-doc-2.0.1-r3.apk74.6 KiB2023-04-29 17:30:52
twinkle-doc-1.10.3-r2.apk3.6 KiB2023-04-29 17:30:52
stardict-help-3.0.6-r6.apk3.4 MiB2023-04-29 17:30:52
twinkle-1.10.3-r2.apk2.2 MiB2023-04-29 17:30:52
stardict-lang-3.0.6-r6.apk289.5 KiB2023-04-29 17:30:52
vcdimager-dev-2.0.1-r3.apk113.2 KiB2023-04-29 17:30:52
vcdimager-2.0.1-r3.apk450.5 KiB2023-04-29 17:30:52
mimedefang-3.4.1-r0.apk147.9 KiB2023-05-01 16:42:36
mimedefang-doc-3.4.1-r0.apk92.5 KiB2023-05-01 16:42:36
xfce4-systemload-plugin-lang-1.3.2-r0.apk38.8 KiB2023-05-02 15:14:00
xfce4-systemload-plugin-1.3.2-r0.apk29.0 KiB2023-05-02 15:14:00
libabigail-bash-completion-2.3-r0.apk3.0 KiB2023-05-03 09:10:16
libabigail-dev-2.3-r0.apk1.3 MiB2023-05-03 09:10:16
libabigail-doc-2.3-r0.apk61.2 KiB2023-05-03 09:10:16
libabigail-2.3-r0.apk716.8 KiB2023-05-03 09:10:16
libabigail-tools-2.3-r0.apk90.5 KiB2023-05-03 09:10:16
openocd-riscv-dev-0_git20230104-r1.apk3.8 KiB2023-05-03 09:16:00
openocd-riscv-0_git20230104-r1.apk1.5 MiB2023-05-03 09:16:00
openocd-riscv-udev-rules-0_git20230104-r1.apk3.3 KiB2023-05-03 09:16:00
openocd-riscv-doc-0_git20230104-r1.apk3.3 KiB2023-05-03 09:16:00
pegasus-frontend-doc-13_alpha-r2.apk16.4 KiB2023-05-05 04:18:21
pegasus-frontend-13_alpha-r2.apk1.2 MiB2023-05-05 04:18:21
libretro-genesis-plus-gx-0_git20230503-r0.apk795.8 KiB2023-05-05 07:16:28
powder-toy-97.0.352-r0.apk732.9 KiB2023-05-06 20:12:24
kabmat-2.7.0-r0.apk50.4 KiB2023-05-07 06:36:52
kabmat-doc-2.7.0-r0.apk3.5 KiB2023-05-07 06:36:52
asteroid-languages-0_git20230112-r0.apk6.3 KiB2023-05-13 17:57:24
firehol-openrc-3.1.7-r2.apk2.1 KiB2023-05-13 17:58:12
firehol-doc-3.1.7-r2.apk674.6 KiB2023-05-13 17:58:12
firehol-3.1.7-r2.apk84.8 KiB2023-05-13 17:58:12
php82-snappy-0.2.1-r1.apk4.8 KiB2023-05-13 17:58:38
restic.mk-0.4.0-r0.apk2.9 KiB2023-05-13 17:58:40
gprbuild-22.0.0-r2.apk11.7 MiB2023-05-14 19:15:09
libideviceactivation-dev-1.1.1-r4.apk3.4 KiB2023-05-15 02:28:13
ideviceinstaller-doc-1.1.1-r3.apk2.5 KiB2023-05-15 02:28:13
ideviceinstaller-1.1.1-r3.apk14.0 KiB2023-05-15 02:28:13
libideviceactivation-1.1.1-r4.apk15.1 KiB2023-05-15 02:28:13
libideviceactivation-doc-1.1.1-r4.apk2.2 KiB2023-05-15 02:28:13
agrep-doc-0.8.0-r2.apk4.1 KiB2023-05-15 16:03:17
agrep-0.8.0-r2.apk7.8 KiB2023-05-15 16:03:17
agg-2.5-r3.apk93.5 KiB2023-05-15 16:03:17
agg-dev-2.5-r3.apk201.3 KiB2023-05-15 16:03:17
apache-mod-auth-gssapi-1.6.5-r1.apk50.8 KiB2023-05-15 16:03:17
ecm-dev-7.0.5-r1.apk4.9 KiB2023-05-15 16:03:19
ecm-7.0.5-r1.apk121.1 KiB2023-05-15 16:03:19
cyrus-sasl-xoauth2-static-0.2-r1.apk6.2 KiB2023-05-15 16:03:19
cyrus-sasl-xoauth2-0.2-r1.apk5.9 KiB2023-05-15 16:03:19
cyrus-sasl-xoauth2-doc-0.2-r1.apk2.3 KiB2023-05-15 16:03:19
ecm-doc-7.0.5-r1.apk7.2 KiB2023-05-15 16:03:19
hdf4-doc-4.2.15-r1.apk6.0 KiB2023-05-15 16:03:38
hdf4-4.2.15-r1.apk238.0 KiB2023-05-15 16:03:38
httrack-doc-3.49.2-r5.apk528.0 KiB2023-05-15 16:03:38
hdf4-dev-4.2.15-r1.apk100.7 KiB2023-05-15 16:03:38
hdf4-tools-4.2.15-r1.apk173.5 KiB2023-05-15 16:03:38
httrack-3.49.2-r5.apk716.6 KiB2023-05-15 16:03:38
libantlr3c-dev-3.4-r3.apk58.4 KiB2023-05-15 16:03:50
libantlr3c-3.4-r3.apk34.5 KiB2023-05-15 16:03:50
libiscsi-utils-1.19.0-r2.apk76.0 KiB2023-05-15 16:03:53
libctl-dev-4.5.1-r1.apk38.6 KiB2023-05-15 16:03:53
libctl-4.5.1-r1.apk84.2 KiB2023-05-15 16:03:53
libiscsi-static-1.19.0-r2.apk65.1 KiB2023-05-15 16:03:53
liberasurecode-1.6.3-r1.apk32.7 KiB2023-05-15 16:03:53
libecap-1.0.1-r1.apk12.8 KiB2023-05-15 16:03:53
libiscsi-1.19.0-r2.apk52.0 KiB2023-05-15 16:03:53
libiscsi-dev-1.19.0-r2.apk20.4 KiB2023-05-15 16:03:53
libecap-static-1.0.1-r1.apk18.1 KiB2023-05-15 16:03:53
libiscsi-doc-1.19.0-r2.apk9.3 KiB2023-05-15 16:03:53
libecm-7.0.5-r1.apk197.1 KiB2023-05-15 16:03:53
libecap-dev-1.0.1-r1.apk11.4 KiB2023-05-15 16:03:53
libctl-doc-4.5.1-r1.apk3.0 KiB2023-05-15 16:03:53
liberasurecode-dev-1.6.3-r1.apk18.3 KiB2023-05-15 16:03:53
libnfc-1.8.0-r1.apk51.0 KiB2023-05-15 16:03:54
libsigrok-dev-0.5.2-r2.apk30.7 KiB2023-05-15 16:03:54
libsigrok-0.5.2-r2.apk435.3 KiB2023-05-15 16:03:54
libnfc-doc-1.8.0-r1.apk22.0 KiB2023-05-15 16:03:54
libnfc-tools-1.8.0-r1.apk55.8 KiB2023-05-15 16:03:54
libppl_c-1.2-r1.apk900.9 KiB2023-05-15 16:03:54
libppl-1.2-r1.apk422.2 KiB2023-05-15 16:03:54
libnfc-dev-1.8.0-r1.apk7.9 KiB2023-05-15 16:03:54
lrcalc-libs-2.1-r1.apk21.0 KiB2023-05-15 16:03:55
mdnsd-0.12-r1.apk21.3 KiB2023-05-15 16:03:55
lrcalc-2.1-r1.apk10.5 KiB2023-05-15 16:03:55
lrcalc-dev-2.1-r1.apk11.3 KiB2023-05-15 16:03:55
mdnsd-libs-0.12-r1.apk15.3 KiB2023-05-15 16:03:55
mdnsd-doc-0.12-r1.apk14.4 KiB2023-05-15 16:03:55
log4cpp-1.1.4-r1.apk64.7 KiB2023-05-15 16:03:55
mdnsd-openrc-0.12-r1.apk2.1 KiB2023-05-15 16:03:55
mdnsd-static-0.12-r1.apk15.2 KiB2023-05-15 16:03:55
log4cpp-dev-1.1.4-r1.apk38.9 KiB2023-05-15 16:03:55
ocfs2-tools-dev-1.8.7-r2.apk45.9 KiB2023-05-15 16:03:57
ocfs2-tools-doc-1.8.7-r2.apk69.8 KiB2023-05-15 16:03:57
ocfs2-tools-1.8.7-r2.apk1.0 MiB2023-05-15 16:03:57
ppl-dev-1.2-r1.apk608.6 KiB2023-05-15 16:03:59
ppl-1.2-r1.apk33.1 KiB2023-05-15 16:03:59
ppl-doc-1.2-r1.apk9.1 MiB2023-05-15 16:04:00
sblim-sfcc-2.2.8-r2.apk46.3 KiB2023-05-15 16:04:01
scooper-1.3-r1.apk423.6 KiB2023-05-15 16:04:01
scooper-doc-1.3-r1.apk2.6 KiB2023-05-15 16:04:01
sblim-sfcc-dev-2.2.8-r2.apk22.3 KiB2023-05-15 16:04:01
rgxg-doc-0.1.2-r2.apk12.3 KiB2023-05-15 16:04:01
rgxg-0.1.2-r2.apk13.3 KiB2023-05-15 16:04:01
sblim-sfcc-doc-2.2.8-r2.apk34.6 KiB2023-05-15 16:04:01
rgxg-dev-0.1.2-r2.apk3.5 KiB2023-05-15 16:04:01
shellinabox-doc-2.21-r3.apk19.4 KiB2023-05-15 16:04:01
shellinabox-2.21-r3.apk115.0 KiB2023-05-15 16:04:01
shellinabox-openrc-2.21-r3.apk3.5 KiB2023-05-15 16:04:01
ssh-honeypot-0.1.1-r1.apk7.9 KiB2023-05-15 16:04:03
ssh-honeypot-openrc-0.1.1-r1.apk2.1 KiB2023-05-15 16:04:03
telegram-tdlib-dev-1.8.9-r1.apk120.8 KiB2023-05-15 16:04:04
telegram-tdlib-1.8.9-r1.apk4.8 MiB2023-05-15 16:04:04
telegram-tdlib-doc-1.8.9-r1.apk4.6 KiB2023-05-15 16:04:04
termbox-static-1.1.2-r1.apk11.2 KiB2023-05-15 16:04:05
telegram-tdlib-static-1.8.9-r1.apk13.8 MiB2023-05-15 16:04:05
ustr-static-1.0.4-r1.apk125.8 KiB2023-05-15 16:04:05
ustr-dev-1.0.4-r1.apk91.5 KiB2023-05-15 16:04:05
tre-static-0.8.0-r2.apk23.4 KiB2023-05-15 16:04:05
ustr-debug-1.0.4-r1.apk60.5 KiB2023-05-15 16:04:05
ustr-doc-1.0.4-r1.apk96.6 KiB2023-05-15 16:04:05
ustr-1.0.4-r1.apk43.8 KiB2023-05-15 16:04:05
termbox-dev-1.1.2-r1.apk5.7 KiB2023-05-15 16:04:05
termbox-1.1.2-r1.apk10.4 KiB2023-05-15 16:04:05
tre-dev-0.8.0-r2.apk5.2 KiB2023-05-15 16:04:05
tre-0.8.0-r2.apk22.2 KiB2023-05-15 16:04:05
metalang99-1.13.3-r0.apk54.3 KiB2023-05-16 12:28:51
pick-doc-4.0.0-r0.apk3.3 KiB2023-05-18 10:05:24
pick-4.0.0-r0.apk9.2 KiB2023-05-18 10:05:24
glmark2-doc-2023.01-r0.apk12.8 KiB2023-05-18 21:55:04
glmark2-2023.01-r0.apk7.8 MiB2023-05-18 21:55:04
gutenprint-5.3.4-r3.apk817.5 KiB2023-05-21 17:05:51
gutenprint-cups-5.3.4-r3.apk48.6 MiB2023-05-21 17:06:03
gutenprint-doc-5.3.4-r3.apk8.4 KiB2023-05-21 17:06:03
gutenprint-dev-5.3.4-r3.apk35.7 KiB2023-05-21 17:06:03
gutenprint-static-5.3.4-r3.apk676.6 KiB2023-05-21 17:06:04
gutenprint-lang-5.3.4-r3.apk1.9 MiB2023-05-21 17:06:04
gutenprint-samples-5.3.4-r3.apk634.7 KiB2023-05-21 17:06:04
gutenprint-libs-5.3.4-r3.apk461.1 KiB2023-05-21 17:06:04
pokoy-doc-0.2.5-r0.apk3.0 KiB2023-05-22 21:23:42
pokoy-0.2.5-r0.apk8.2 KiB2023-05-22 21:23:42
kirc-0.3.2-r0.apk9.7 KiB2023-05-23 12:47:22
kirc-doc-0.3.2-r0.apk2.6 KiB2023-05-23 12:47:22
bore-0.5.0-r1.apk464.6 KiB2023-05-24 05:50:36
bartib-1.0.1-r1.apk311.6 KiB2023-05-24 05:50:36
chim-1.1.2-r1.apk1.4 MiB2023-05-24 05:50:37
chim-doc-1.1.2-r1.apk2.8 KiB2023-05-24 05:50:37
cargo-vendor-filterer-0.5.9-r1.apk382.3 KiB2023-05-24 05:50:37
castor-0.9.0-r2.apk650.4 KiB2023-05-24 05:50:37
dum-0.1.19-r1.apk197.4 KiB2023-05-24 05:50:38
desed-doc-1.2.1-r1.apk2.9 KiB2023-05-24 05:50:38
filite-0.3.0-r2.apk1.0 MiB2023-05-24 05:50:38
dotenv-linter-3.3.0-r1.apk738.9 KiB2023-05-24 05:50:38
eva-0.3.1-r2.apk554.7 KiB2023-05-24 05:50:38
desed-1.2.1-r1.apk341.8 KiB2023-05-24 05:50:38
diskonaut-0.11.0-r3.apk389.3 KiB2023-05-24 05:50:38
grass-0.12.3-r1.apk694.8 KiB2023-05-24 05:50:39
habitctl-0.1.0-r2.apk285.2 KiB2023-05-24 05:50:39
gobang-0.1.0_alpha5-r1.apk1.5 MiB2023-05-24 05:50:39
hstdb-2.1.0-r2.apk787.3 KiB2023-05-24 05:50:39
jrsonnet-cli-0.4.2-r1.apk501.6 KiB2023-05-24 05:50:40
lumins-0.4.0-r2.apk589.0 KiB2023-05-24 05:50:41
nitrocli-bash-completion-0.4.1-r3.apk3.2 KiB2023-05-24 05:50:41
nitrocli-0.4.1-r3.apk376.5 KiB2023-05-24 05:50:41
nixpacks-0.1.7-r1.apk799.6 KiB2023-05-24 05:50:41
nsh-dbg-0.4.2-r1.apk3.3 MiB2023-05-24 05:50:41
nitrocli-doc-0.4.1-r3.apk8.8 KiB2023-05-24 05:50:41
nsh-0.4.2-r1.apk529.1 KiB2023-05-24 05:50:41
pidif-0.1-r1.apk140.6 KiB2023-05-24 05:50:42
please-0.4.2-r2.apk831.8 KiB2023-05-24 05:50:43
pw-volume-0.5.0-r1.apk281.6 KiB2023-05-24 05:50:43
please-doc-0.4.2-r2.apk13.7 KiB2023-05-24 05:50:43
rezolus-doc-2.11.1-r3.apk3.4 KiB2023-05-24 05:50:45
rezolus-openrc-2.11.1-r3.apk2.1 KiB2023-05-24 05:50:45
riemann-cli-0.8.0-r2.apk475.8 KiB2023-05-24 05:50:45
rpg-cli-1.0.1-r1.apk498.3 KiB2023-05-24 05:50:45
rezolus-2.11.1-r3.apk784.0 KiB2023-05-24 05:50:45
so-0.4.9-r1.apk1.4 MiB2023-05-24 05:50:48
spotify-tui-0.25.0-r2.apk1.7 MiB2023-05-24 05:50:48
swayhide-0.2.1-r1.apk208.8 KiB2023-05-24 05:50:48
synapse-bt-1.0-r4.apk1001.9 KiB2023-05-24 05:50:49
synapse-bt-openrc-1.0-r4.apk1.8 KiB2023-05-24 05:50:49
synapse-bt-cli-1.0-r4.apk900.6 KiB2023-05-24 05:50:49
twiggy-0.6.0-r3.apk687.9 KiB2023-05-24 05:50:51
wk-adblock-0.0.4-r5.apk145.9 KiB2023-05-24 05:50:53
waylevel-1.0.0-r1.apk259.8 KiB2023-05-24 05:50:53
weggli-0.2.4-r1.apk746.9 KiB2023-05-24 05:50:53
vivid-0.9.0-r1.apk261.3 KiB2023-05-24 05:50:53
wk-adblock-doc-0.0.4-r5.apk2.1 KiB2023-05-24 05:50:53
py3-moviepy-pyc-1.0.3-r4.apk163.6 KiB2023-05-24 21:47:57
py3-moviepy-1.0.3-r4.apk90.5 KiB2023-05-24 21:47:57
pam_sqlite3-1.0.2-r1.apk7.7 KiB2023-05-25 06:20:06
udpt-3.1.2-r0.apk620.8 KiB2023-05-26 21:46:16
udpt-openrc-3.1.2-r0.apk1.8 KiB2023-05-26 21:46:16
libirecovery-progs-1.1.0-r0.apk7.7 KiB2023-05-27 09:49:21
libirecovery-1.1.0-r0.apk19.6 KiB2023-05-27 09:49:21
idevicerestore-doc-1.0.0-r3.apk2.6 KiB2023-05-27 09:49:21
libirecovery-dev-1.1.0-r0.apk4.2 KiB2023-05-27 09:49:21
idevicerestore-1.0.0-r3.apk76.1 KiB2023-05-27 09:49:21
libmdf-dev-1.0.27-r0.apk13.6 KiB2023-05-27 09:51:15
libmdf-1.0.27-r0.apk28.9 KiB2023-05-27 09:51:15
guish-2.6.10-r0.apk80.6 KiB2023-05-27 15:24:03
guish-doc-2.6.10-r0.apk61.8 KiB2023-05-27 15:24:03
lxappearance-0.6.3-r3.apk27.7 KiB2023-05-29 05:04:17
lxappearance-dev-0.6.3-r3.apk3.2 KiB2023-05-29 05:04:17
lxappearance-lang-0.6.3-r3.apk80.5 KiB2023-05-29 05:04:17
lxappearance-doc-0.6.3-r3.apk2.6 KiB2023-05-29 05:04:17
zsh-histdb-skim-0.8.6-r0.apk669.6 KiB2023-05-29 20:34:46
authenticator-rs-0.7.5-r0.apk1.8 MiB2023-05-29 21:09:43
authenticator-rs-lang-0.7.5-r0.apk3.7 KiB2023-05-29 21:09:43
alttab-doc-1.7.1-r0.apk10.3 KiB2023-06-15 11:46:18
alttab-1.7.1-r0.apk34.7 KiB2023-06-15 11:46:18
disfetch-3.7-r0.apk8.3 KiB2023-06-15 11:46:45
eludris-doc-0.3.3-r1.apk2.3 KiB2023-06-15 11:46:50
foma-dev-0.10.0_git20221230-r0.apk8.5 KiB2023-06-15 11:46:50
foma-0.10.0_git20221230-r0.apk285.1 KiB2023-06-15 11:46:50
eludris-0.3.3-r1.apk1.5 MiB2023-06-15 11:46:50
font-monocraft-3.0-r0.apk41.7 KiB2023-06-15 11:46:52
gnome-metronome-1.3.0-r0.apk410.9 KiB2023-06-15 11:46:54
gnome-metronome-lang-1.3.0-r0.apk24.7 KiB2023-06-15 11:46:54
greetd-regreet-0.1.1-r0.apk820.9 KiB2023-06-15 11:47:08
gpscorrelate-doc-2.0_git20230605-r0.apk171.0 KiB2023-06-15 11:47:08
gpscorrelate-lang-2.0_git20230605-r0.apk16.5 KiB2023-06-15 11:47:08
gpscorrelate-2.0_git20230605-r0.apk40.8 KiB2023-06-15 11:47:08
gpscorrelate-cli-2.0_git20230605-r0.apk19.4 KiB2023-06-15 11:47:08
hunspell-es-ar-2.7-r0.apk226.5 KiB2023-06-15 11:47:13
hunspell-es-ar-doc-2.7-r0.apk2.8 KiB2023-06-15 11:47:13
ip2location-8.6.1-r0.apk20.8 KiB2023-06-15 11:47:14
ip2location-dev-8.6.1-r0.apk11.3 KiB2023-06-15 11:47:14
ircd-hybrid-doc-8.2.43-r0.apk3.7 KiB2023-06-15 11:47:14
ircd-hybrid-8.2.43-r0.apk277.7 KiB2023-06-15 11:47:14
ip2location-doc-8.6.1-r0.apk2.7 KiB2023-06-15 11:47:14
kfc-0.1.4-r0.apk57.6 KiB2023-06-15 11:47:15
lolcat-1.4-r0.apk9.3 KiB2023-06-15 11:47:19
libreoffice-voikko-5.0_git20200127-r0.apk45.4 KiB2023-06-15 11:47:19
lout-3.42.2-r0.apk1.4 MiB2023-06-15 11:47:19
libfoma-0.10.0_git20221230-r0.apk88.7 KiB2023-06-15 11:47:19
lout-doc-3.42.2-r0.apk452.5 KiB2023-06-15 11:47:20
makeself-2.5.0-r0.apk13.0 KiB2023-06-15 11:47:21
mint-themes-2.1.1-r0.apk2.2 KiB2023-06-15 11:47:21
lua5.1-luacov-0.15.0-r0.apk23.3 KiB2023-06-15 11:47:21
mint-themes-doc-2.1.1-r0.apk12.8 KiB2023-06-15 11:47:21
lua5.2-luacov-0.15.0-r0.apk23.3 KiB2023-06-15 11:47:21
lua5.4-luacov-0.15.0-r0.apk23.3 KiB2023-06-15 11:47:21
luacov-0.15.0-r0.apk1.5 KiB2023-06-15 11:47:21
lua5.3-luacov-0.15.0-r0.apk23.3 KiB2023-06-15 11:47:21
mint-y-theme-gtk4-2.1.1-r0.apk1.6 MiB2023-06-15 11:47:24
mint-y-theme-gtk3-2.1.1-r0.apk1.8 MiB2023-06-15 11:47:24
mint-y-theme-gtk2-2.1.1-r0.apk656.1 KiB2023-06-15 11:47:24
mint-y-theme-metacity-2.1.1-r0.apk57.7 KiB2023-06-15 11:47:24
mint-y-theme-2.1.1-r0.apk4.1 KiB2023-06-15 11:47:24
mint-x-theme-gtk2-2.1.1-r0.apk489.9 KiB2023-06-15 11:47:24
mint-x-theme-gtk3-2.1.1-r0.apk603.5 KiB2023-06-15 11:47:24
mint-x-theme-2.1.1-r0.apk2.3 KiB2023-06-15 11:47:24
mint-x-theme-gtk4-2.1.1-r0.apk510.5 KiB2023-06-15 11:47:24
mint-x-theme-metacity-2.1.1-r0.apk6.1 KiB2023-06-15 11:47:24
mint-y-theme-xfwm4-2.1.1-r0.apk207.0 KiB2023-06-15 11:47:24
mint-x-theme-xfwm4-2.1.1-r0.apk34.8 KiB2023-06-15 11:47:24
newsyslog-doc-1.2.0.91-r1.apk24.0 KiB2023-06-15 11:47:25
newsyslog-1.2.0.91-r1.apk18.0 KiB2023-06-15 11:47:25
noggin-model-0.1-r0.apk12.0 MiB2023-06-15 11:47:26
noggin-model-lightweight-0.1-r0.apk1.7 MiB2023-06-15 11:47:26
noson-2.10.3-r0.apk270.3 KiB2023-06-15 11:47:27
noson-dev-2.10.3-r0.apk34.1 KiB2023-06-15 11:47:28
noson-dbg-2.10.3-r0.apk3.0 MiB2023-06-15 11:47:28
proot-static-5.4.0-r0.apk90.6 KiB2023-06-15 11:47:44
proot-5.4.0-r0.apk62.5 KiB2023-06-15 11:47:44
proot-doc-5.4.0-r0.apk9.7 KiB2023-06-15 11:47:44
pithos-pyc-1.6.1-r0.apk154.3 KiB2023-06-15 11:47:44
pithos-1.6.1-r0.apk104.5 KiB2023-06-15 11:47:44
pithos-doc-1.6.1-r0.apk2.1 KiB2023-06-15 11:47:44
pxmenu-1.0.0-r1.apk2.9 KiB2023-06-15 11:47:46
somebar-1.0.3-r0.apk32.4 KiB2023-06-15 11:47:58
somebar-doc-1.0.3-r0.apk2.4 KiB2023-06-15 11:47:58
vcsh-2.0.5-r0.apk8.8 KiB2023-06-15 11:48:09
voikko-fi-2.5-r0.apk1.6 MiB2023-06-15 11:48:09
viewnior-1.8-r1.apk67.3 KiB2023-06-15 11:48:09
vcsh-zsh-completion-2.0.5-r0.apk2.9 KiB2023-06-15 11:48:09
viewnior-doc-1.8-r1.apk2.1 KiB2023-06-15 11:48:09
vcsh-doc-2.0.5-r0.apk27.2 KiB2023-06-15 11:48:09
vcsh-bash-completion-2.0.5-r0.apk2.9 KiB2023-06-15 11:48:09
vfd-configurations-0_git20230612-r0.apk24.8 KiB2023-06-15 11:48:09
viewnior-lang-1.8-r1.apk85.1 KiB2023-06-15 11:48:09
moosefs-master-openrc-3.0.117-r1.apk1.7 KiB2023-06-17 21:06:38
moosefs-chunkserver-openrc-3.0.117-r1.apk1.7 KiB2023-06-17 21:06:38
moosefs-static-3.0.117-r1.apk589.9 KiB2023-06-17 21:06:38
moosefs-client-3.0.117-r1.apk311.5 KiB2023-06-17 21:06:38
moosefs-doc-3.0.117-r1.apk64.8 KiB2023-06-17 21:06:38
moosefs-3.0.117-r1.apk210.7 KiB2023-06-17 21:06:38
moosefs-master-3.0.117-r1.apk270.2 KiB2023-06-17 21:06:38
moosefs-cgi-3.0.117-r1.apk63.5 KiB2023-06-17 21:06:38
moosefs-metalogger-3.0.117-r1.apk31.3 KiB2023-06-17 21:06:38
moosefs-chunkserver-3.0.117-r1.apk172.0 KiB2023-06-17 21:06:38
moosefs-cgiserv-3.0.117-r1.apk7.8 KiB2023-06-17 21:06:38
moosefs-cgiserv-openrc-3.0.117-r1.apk2.0 KiB2023-06-17 21:06:38
moosefs-metalogger-openrc-3.0.117-r1.apk1.7 KiB2023-06-17 21:06:38
uucp-1.07-r5.apk423.2 KiB2023-06-19 18:39:56
uucp-doc-1.07-r5.apk118.1 KiB2023-06-19 18:39:56
apmpkg-fish-completion-1.5.1-r3.apk2.0 KiB2023-07-02 17:36:59
apmpkg-bash-completion-1.5.1-r3.apk2.2 KiB2023-07-02 17:36:59
apmpkg-doc-1.5.1-r3.apk3.0 KiB2023-07-02 17:36:59
apmpkg-zsh-completion-1.5.1-r3.apk2.4 KiB2023-07-02 17:36:59
apmpkg-1.5.1-r3.apk1.4 MiB2023-07-02 17:36:59
caps2esc-0.3.2-r0.apk4.3 KiB2023-07-02 17:37:03
csfml-2.5.2-r0.apk86.2 KiB2023-07-02 17:37:08
csfml-dev-2.5.2-r0.apk77.2 KiB2023-07-02 17:37:08
duc-doc-1.4.5-r0.apk9.1 KiB2023-07-02 17:37:08
csfml-doc-2.5.2-r0.apk204.0 KiB2023-07-02 17:37:08
duc-1.4.5-r0.apk83.2 KiB2023-07-02 17:37:08
drumgizmo-0.9.20-r1.apk358.5 KiB2023-07-02 17:37:08
faust-2.60.3-r2.apk7.7 MiB2023-07-02 17:37:11
faust-dev-2.60.3-r2.apk770.5 KiB2023-07-02 17:37:12
ffsend-fish-completion-0.2.76-r4.apk3.5 KiB2023-07-02 17:37:13
ffsend-bash-completion-0.2.76-r4.apk3.6 KiB2023-07-02 17:37:13
faust-vim-2.60.3-r2.apk2.6 KiB2023-07-02 17:37:13
faust-doc-2.60.3-r2.apk16.7 MiB2023-07-02 17:37:13
faust-tools-2.60.3-r2.apk118.3 KiB2023-07-02 17:37:13
ffsend-0.2.76-r4.apk1.4 MiB2023-07-02 17:37:13
faust-static-2.60.3-r2.apk517.0 KiB2023-07-02 17:37:13
ffsend-zsh-completion-0.2.76-r4.apk4.5 KiB2023-07-02 17:37:13
kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk64.0 KiB2023-07-02 17:37:37
kodi-game-libretro-20.1.0-r0.apk108.5 KiB2023-07-02 17:37:37
kodi-vfs-sacd-20.1.0-r1.apk86.4 KiB2023-07-02 17:37:37
kodi-game-libretro-mgba-0.11.0.44-r0.apk19.4 KiB2023-07-02 17:37:37
kodi-peripheral-joystick-20.1.9-r0.apk197.5 KiB2023-07-02 17:37:37
kodi-game-libretro-nestopia-1.52.0.41-r0.apk22.7 KiB2023-07-02 17:37:37
kodi-audioencoder-vorbis-20.2.0-r1.apk33.6 KiB2023-07-02 17:37:37
kodi-inputstream-rtmp-20.3.0-r1.apk85.2 KiB2023-07-02 17:37:37
kodi-vfs-sftp-20.2.0-r1.apk50.8 KiB2023-07-02 17:37:37
kodi-inputstream-ffmpegdirect-20.5.0-r1.apk320.4 KiB2023-07-02 17:37:37
kodi-audioencoder-wav-20.2.0-r1.apk26.0 KiB2023-07-02 17:37:37
kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk14.8 KiB2023-07-02 17:37:37
kodi-game-libretro-mame2003-0.78.0.54-r0.apk1.3 MiB2023-07-02 17:37:37
kodi-vfs-libarchive-20.3.0-r1.apk102.4 KiB2023-07-02 17:37:37
kodi-game-libretro-desmume-0.0.1.28-r0.apk63.6 KiB2023-07-02 17:37:37
kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk17.5 KiB2023-07-02 17:37:37
kodi-game-libretro-atari800-3.1.0.28-r0.apk240.7 KiB2023-07-02 17:37:37
kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk19.7 KiB2023-07-02 17:37:37
kodi-vfs-rar-20.1.0-r1.apk383.7 KiB2023-07-02 17:37:37
kodi-pvr-hts-20.6.2-r1.apk284.3 KiB2023-07-02 17:37:37
kodi-audioencoder-lame-20.3.0-r1.apk91.1 KiB2023-07-02 17:37:37
kodi-game-libretro-fbneo-1.0.0.71-r0.apk180.0 KiB2023-07-02 17:37:37
kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk16.7 KiB2023-07-02 17:37:37
kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk15.4 KiB2023-07-02 17:37:37
kodi-game-libretro-beetle-supergrafx-1.29.0.39-r0.apk19.8 KiB2023-07-02 17:37:37
kodi-game-libretro-dosbox-0.74.0.25-r0.apk73.8 KiB2023-07-02 17:37:37
kodi-game-libretro-frodo-0.0.1.25-r0.apk160.4 KiB2023-07-02 17:37:37
kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk53.8 KiB2023-07-02 17:37:37
kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk16.4 KiB2023-07-02 17:37:37
kodi-game-libretro-theodore-0.0.1.32-r0.apk16.0 KiB2023-07-02 17:37:37
kodi-audioencoder-flac-20.2.0-r1.apk42.0 KiB2023-07-02 17:37:37
kodi-game-libretro-snes9x-1.62.3.45-r0.apk26.0 KiB2023-07-02 17:37:37
kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0.apk20.9 KiB2023-07-02 17:37:37
kodi-game-libretro-mame2000-0.37.0.32-r0.apk326.4 KiB2023-07-02 17:37:37
libupstart-2.0.3-r4.apk63.1 KiB2023-07-02 17:37:39
nvimpager-0.12.0-r0.apk12.6 KiB2023-07-02 17:37:50
nvimpager-zsh-completion-0.12.0-r0.apk1.8 KiB2023-07-02 17:37:50
nvimpager-doc-0.12.0-r0.apk4.4 KiB2023-07-02 17:37:50
pastel-zsh-completion-0.9.0-r2.apk4.8 KiB2023-07-02 17:37:53
pastel-bash-completion-0.9.0-r2.apk3.0 KiB2023-07-02 17:37:53
pastel-0.9.0-r2.apk328.8 KiB2023-07-02 17:37:53
pastel-fish-completion-0.9.0-r2.apk3.2 KiB2023-07-02 17:37:53
rage-zsh-completion-0.9.2-r1.apk2.3 KiB2023-07-02 17:38:01
qownnotes-lang-23.6.6-r0.apk4.4 MiB2023-07-02 17:38:01
qownnotes-23.6.6-r0.apk2.2 MiB2023-07-02 17:38:01
rage-0.9.2-r1.apk2.1 MiB2023-07-02 17:38:01
rage-fish-completion-0.9.2-r1.apk2.0 KiB2023-07-02 17:38:01
rage-doc-0.9.2-r1.apk3.8 KiB2023-07-02 17:38:01
rage-bash-completion-0.9.2-r1.apk2.4 KiB2023-07-02 17:38:01
sandbar-0.1-r0.apk13.7 KiB2023-07-02 17:38:03
steamguard-cli-bash-completion-0.9.6-r0.apk2.5 KiB2023-07-02 17:38:06
startup-fish-completion-2.0.3-r4.apk5.4 KiB2023-07-02 17:38:06
startup-bridge-udev-2.0.3-r4.apk33.7 KiB2023-07-02 17:38:06
startup-dev-2.0.3-r4.apk5.8 KiB2023-07-02 17:38:06
startup-bridge-dconf-2.0.3-r4.apk34.1 KiB2023-07-02 17:38:06
startup-lang-2.0.3-r4.apk16.6 KiB2023-07-02 17:38:06
steamguard-cli-zsh-completion-0.9.6-r0.apk3.5 KiB2023-07-02 17:38:06
startup-2.0.3-r4.apk466.0 KiB2023-07-02 17:38:06
startup-tools-2.0.3-r4.apk13.3 KiB2023-07-02 17:38:06
steamguard-cli-0.9.6-r0.apk1.9 MiB2023-07-02 17:38:06
startup-doc-2.0.3-r4.apk47.6 KiB2023-07-02 17:38:06
tealdeer-1.6.1-r2.apk802.7 KiB2023-07-02 17:38:10
tealdeer-zsh-completion-1.6.1-r2.apk2.4 KiB2023-07-02 17:38:10
tealdeer-bash-completion-1.6.1-r2.apk2.0 KiB2023-07-02 17:38:10
tealdeer-fish-completion-1.6.1-r2.apk2.2 KiB2023-07-02 17:38:10
torrent-file-editor-0.3.18-r0.apk351.8 KiB2023-07-02 17:38:13
transmission-remote-gtk-lang-1.6.0-r0.apk106.0 KiB2023-07-02 17:38:13
transmission-remote-gtk-1.6.0-r0.apk129.6 KiB2023-07-02 17:38:13
transmission-remote-gtk-doc-1.6.0-r0.apk4.2 KiB2023-07-02 17:38:13
tuptime-openrc-5.2.2-r3.apk1.7 KiB2023-07-02 17:38:16
tuptime-5.2.2-r3.apk13.6 KiB2023-07-02 17:38:16
tuptime-doc-5.2.2-r3.apk3.8 KiB2023-07-02 17:38:16
wpaperd-fish-completion-0.3.0-r2.apk1.8 KiB2023-07-02 17:38:20
wpaperd-bash-completion-0.3.0-r2.apk1.9 KiB2023-07-02 17:38:20
wpaperd-0.3.0-r2.apk869.8 KiB2023-07-02 17:38:20
wpaperd-zsh-completion-0.3.0-r2.apk2.0 KiB2023-07-02 17:38:20
wpaperd-doc-0.3.0-r2.apk3.1 KiB2023-07-02 17:38:20
libretro-ppsspp-0_git20210516-r12.apk2.2 MiB2023-07-03 16:35:34
wlroots0.15-dev-0.15.1-r6.apk70.4 KiB2023-07-03 16:35:35
wlroots0.15-0.15.1-r6.apk244.3 KiB2023-07-03 16:35:35
wlroots0.15-dbg-0.15.1-r6.apk1.2 MiB2023-07-03 16:35:35
perl-template-plugin-number-format-doc-1.06-r4.apk4.4 KiB2023-07-03 22:43:45
perl-libintl-perl-doc-1.33-r1.apk566.7 KiB2023-07-03 22:43:45
perl-term-ui-doc-0.50-r1.apk8.5 KiB2023-07-03 22:43:45
perl-pod-cpandoc-0.16-r6.apk4.6 KiB2023-07-03 22:43:45
perl-test-api-doc-0.010-r2.apk4.2 KiB2023-07-03 22:43:45
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk14.8 KiB2023-07-03 22:43:45
perl-dbix-datasource-0.02-r5.apk4.3 KiB2023-07-03 22:43:45
perl-soap-lite-doc-1.27-r5.apk90.4 KiB2023-07-03 22:43:45
perl-number-format-1.76-r1.apk15.2 KiB2023-07-03 22:43:45
perl-gtk2-ex-widgetbits-48-r3.apk65.7 KiB2023-07-03 22:43:45
perl-bind-config-parser-doc-0.01-r5.apk3.6 KiB2023-07-03 22:43:45
perl-crypt-saltedhash-doc-0.09-r5.apk6.3 KiB2023-07-03 22:43:45
perl-sort-naturally-doc-1.03-r4.apk5.4 KiB2023-07-03 22:43:45
perl-lwp-useragent-cached-0.08-r1.apk6.4 KiB2023-07-03 22:43:45
perl-data-dumper-concise-2.023-r4.apk5.8 KiB2023-07-03 22:43:45
perl-template-plugin-number-format-1.06-r4.apk4.9 KiB2023-07-03 22:43:45
perl-log-message-0.08-r3.apk10.6 KiB2023-07-03 22:43:45
perl-gtk3-doc-0.038-r1.apk9.1 KiB2023-07-03 22:43:45
perl-algorithm-c3-0.11-r1.apk5.6 KiB2023-07-03 22:43:45
perl-path-iter-doc-0.2-r3.apk5.1 KiB2023-07-03 22:43:45
perl-log-message-doc-0.08-r3.apk12.1 KiB2023-07-03 22:43:45
perl-aliased-doc-0.34-r4.apk5.6 KiB2023-07-03 22:43:45
perl-clone-choose-doc-0.010-r4.apk4.2 KiB2023-07-03 22:43:45
perl-pod-tidy-doc-0.10-r1.apk10.5 KiB2023-07-03 22:43:45
perl-dancer-plugin-auth-extensible-1.00-r5.apk15.3 KiB2023-07-03 22:43:45
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk7.3 KiB2023-07-03 22:43:45
perl-data-dumper-concise-doc-2.023-r4.apk8.4 KiB2023-07-03 22:43:45
perl-dbix-introspector-doc-0.001005-r4.apk8.4 KiB2023-07-03 22:43:45
perl-plack-middleware-reverseproxy-0.16-r2.apk3.1 KiB2023-07-03 22:43:45
perl-digest-bcrypt-1.212-r1.apk5.6 KiB2023-07-03 22:43:45
perl-email-mime-attachment-stripper-1.317-r5.apk4.0 KiB2023-07-03 22:43:45
perl-pod-tidy-0.10-r1.apk10.4 KiB2023-07-03 22:43:45
perl-netaddr-mac-doc-0.98-r1.apk8.0 KiB2023-07-03 22:43:45
perl-hash-merge-doc-0.302-r2.apk5.6 KiB2023-07-03 22:43:45
perl-cgi-expand-doc-2.05-r4.apk6.1 KiB2023-07-03 22:43:45
perl-sql-abstract-classic-1.91-r1.apk29.5 KiB2023-07-03 22:43:45
perl-template-plugin-csv-0.04-r3.apk2.7 KiB2023-07-03 22:43:45
perl-constant-defer-6-r5.apk7.4 KiB2023-07-03 22:43:45
perl-log-message-simple-0.10-r3.apk4.2 KiB2023-07-03 22:43:45
perl-class-c3-componentised-1.001002-r2.apk5.5 KiB2023-07-03 22:43:45
perl-freezethaw-doc-0.5001-r2.apk5.6 KiB2023-07-03 22:43:45
perl-plack-middleware-expires-0.06-r3.apk3.9 KiB2023-07-03 22:43:45
perl-clone-pp-1.08-r1.apk4.5 KiB2023-07-03 22:43:45
perl-constant-generate-doc-0.17-r5.apk7.0 KiB2023-07-03 22:43:45
perl-netaddr-mac-0.98-r1.apk10.8 KiB2023-07-03 22:43:45
perl-soap-lite-1.27-r5.apk110.2 KiB2023-07-03 22:43:45
perl-email-reply-doc-1.204-r5.apk4.8 KiB2023-07-03 22:43:45
perl-lwp-useragent-cached-doc-0.08-r1.apk5.6 KiB2023-07-03 22:43:45
perl-io-sessiondata-1.03-r3.apk5.7 KiB2023-07-03 22:43:45
perl-hash-merge-0.302-r2.apk6.8 KiB2023-07-03 22:43:45
perl-html-tableextract-2.15-r4.apk17.7 KiB2023-07-03 22:43:45
perl-test-api-0.010-r2.apk5.1 KiB2023-07-03 22:43:45
perl-libintl-perl-1.33-r1.apk316.4 KiB2023-07-03 22:43:45
perl-gtk2-ex-listmodelconcat-11-r4.apk12.7 KiB2023-07-03 22:43:45
perl-constant-generate-0.17-r5.apk8.7 KiB2023-07-03 22:43:45
perl-dbix-class-0.082843-r1.apk430.2 KiB2023-07-03 22:43:45
perl-log-fu-0.31-r4.apk10.5 KiB2023-07-03 22:43:45
perl-aliased-0.34-r4.apk5.6 KiB2023-07-03 22:43:45
perl-dbix-class-doc-0.082843-r1.apk345.4 KiB2023-07-03 22:43:45
perl-string-camelcase-0.04-r2.apk3.2 KiB2023-07-03 22:43:45
perl-class-accessor-grouped-doc-0.10014-r2.apk7.5 KiB2023-07-03 22:43:45
perl-constant-defer-doc-6-r5.apk6.9 KiB2023-07-03 22:43:45
perl-archive-extract-0.88-r1.apk15.7 KiB2023-07-03 22:43:45
perl-digest-bcrypt-doc-1.212-r1.apk5.2 KiB2023-07-03 22:43:45
perl-context-preserve-doc-0.03-r4.apk4.2 KiB2023-07-03 22:43:45
perl-html-tableextract-doc-2.15-r4.apk9.9 KiB2023-07-03 22:43:45
perl-plack-middleware-expires-doc-0.06-r3.apk3.3 KiB2023-07-03 22:43:45
perl-log-fu-doc-0.31-r4.apk7.2 KiB2023-07-03 22:43:45
perl-class-c3-0.35-r1.apk9.4 KiB2023-07-03 22:43:45
perl-getopt-tabular-doc-0.3-r4.apk16.7 KiB2023-07-03 22:43:45
perl-extutils-xsbuilder-0.28-r5.apk43.0 KiB2023-07-03 22:43:45
perl-class-c3-componentised-doc-1.001002-r2.apk5.3 KiB2023-07-03 22:43:45
perl-data-validate-ip-0.31-r1.apk8.8 KiB2023-07-03 22:43:45
perl-path-iter-0.2-r3.apk5.2 KiB2023-07-03 22:43:45
perl-sort-naturally-1.03-r4.apk8.6 KiB2023-07-03 22:43:45
perl-data-validate-ip-doc-0.31-r1.apk5.8 KiB2023-07-03 22:43:45
perl-i18n-langinfo-wide-doc-9-r4.apk4.1 KiB2023-07-03 22:43:45
perl-term-ui-0.50-r1.apk10.0 KiB2023-07-03 22:43:45
perl-gtk2-ex-widgetbits-doc-48-r3.apk81.2 KiB2023-07-03 22:43:45
perl-log-message-simple-doc-0.10-r3.apk3.9 KiB2023-07-03 22:43:45
perl-number-format-doc-1.76-r1.apk9.0 KiB2023-07-03 22:43:45
perl-template-plugin-csv-doc-0.04-r3.apk3.0 KiB2023-07-03 22:43:45
perl-algorithm-c3-doc-0.11-r1.apk5.1 KiB2023-07-03 22:43:45
perl-clone-choose-0.010-r4.apk4.6 KiB2023-07-03 22:43:45
perl-gtk3-0.038-r1.apk19.6 KiB2023-07-03 22:43:45
perl-extutils-xsbuilder-doc-0.28-r5.apk21.1 KiB2023-07-03 22:43:45
perl-string-camelcase-doc-0.04-r2.apk3.4 KiB2023-07-03 22:43:45
perl-clone-pp-doc-1.08-r1.apk4.1 KiB2023-07-03 22:43:45
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk8.4 KiB2023-07-03 22:43:45
perl-class-c3-doc-0.35-r1.apk9.3 KiB2023-07-03 22:43:45
perl-dbix-introspector-0.001005-r4.apk8.0 KiB2023-07-03 22:43:45
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk3.0 KiB2023-07-03 22:43:45
perl-dancer-plugin-passphrase-2.0.1-r4.apk9.7 KiB2023-07-03 22:43:45
perl-sql-abstract-classic-doc-1.91-r1.apk20.2 KiB2023-07-03 22:43:45
perl-pod-cpandoc-doc-0.16-r6.apk4.9 KiB2023-07-03 22:43:45
perl-bind-config-parser-0.01-r5.apk3.9 KiB2023-07-03 22:43:45
perl-crypt-saltedhash-0.09-r5.apk6.9 KiB2023-07-03 22:43:45
perl-algorithm-cron-doc-0.10-r4.apk4.6 KiB2023-07-03 22:43:45
perl-getopt-tabular-0.3-r4.apk23.2 KiB2023-07-03 22:43:45
perl-class-accessor-grouped-0.10014-r2.apk12.0 KiB2023-07-03 22:43:45
perl-sql-abstract-doc-2.000001-r2.apk44.2 KiB2023-07-03 22:43:45
perl-archive-extract-doc-0.88-r1.apk6.8 KiB2023-07-03 22:43:45
perl-freezethaw-0.5001-r2.apk9.8 KiB2023-07-03 22:43:45
perl-daemon-control-doc-0.001010-r2.apk8.2 KiB2023-07-03 22:43:45
perl-sql-abstract-2.000001-r2.apk63.1 KiB2023-07-03 22:43:45
perl-dbix-datasource-doc-0.02-r5.apk7.5 KiB2023-07-03 22:43:45
perl-algorithm-cron-0.10-r4.apk6.1 KiB2023-07-03 22:43:45
perl-cgi-expand-2.05-r4.apk6.8 KiB2023-07-03 22:43:45
perl-email-mime-attachment-stripper-doc-1.317-r5.apk3.8 KiB2023-07-03 22:43:45
perl-daemon-control-0.001010-r2.apk12.4 KiB2023-07-03 22:43:45
perl-email-reply-1.204-r5.apk6.1 KiB2023-07-03 22:43:45
perl-context-preserve-0.03-r4.apk3.8 KiB2023-07-03 22:43:45
perl-i18n-langinfo-wide-9-r4.apk4.2 KiB2023-07-03 22:43:45
perl-test-file-1.993-r1.apk11.7 KiB2023-07-03 22:43:46
perl-test-distribution-2.00-r1.apk7.7 KiB2023-07-03 22:43:46
perl-test-useallmodules-0.17-r1.apk3.8 KiB2023-07-03 22:43:46
perl-throwable-doc-1.001-r1.apk8.0 KiB2023-07-03 22:43:46
perl-text-brew-doc-0.02-r5.apk4.1 KiB2023-07-03 22:43:46
perl-throwable-1.001-r1.apk6.2 KiB2023-07-03 22:43:46
perl-test-trap-doc-0.3.5-r1.apk19.9 KiB2023-07-03 22:43:46
perl-text-brew-0.02-r5.apk4.5 KiB2023-07-03 22:43:46
perl-test-roo-doc-1.004-r3.apk15.4 KiB2023-07-03 22:43:46
perl-test-distribution-doc-2.00-r1.apk6.1 KiB2023-07-03 22:43:46
perl-test-trap-0.3.5-r1.apk19.7 KiB2023-07-03 22:43:46
perl-test-utf8-1.02-r2.apk5.9 KiB2023-07-03 22:43:46
perl-test-modern-doc-0.013-r3.apk9.8 KiB2023-07-03 22:43:46
perl-test-utf8-doc-1.02-r2.apk4.9 KiB2023-07-03 22:43:46
perl-test-modern-0.013-r3.apk14.6 KiB2023-07-03 22:43:46
perl-url-encode-0.03-r4.apk5.1 KiB2023-07-03 22:43:46
perl-test-roo-1.004-r3.apk11.9 KiB2023-07-03 22:43:46
perl-test-useallmodules-doc-0.17-r1.apk3.8 KiB2023-07-03 22:43:46
perl-test-file-doc-1.993-r1.apk6.8 KiB2023-07-03 22:43:46
perl-url-encode-doc-0.03-r4.apk4.7 KiB2023-07-03 22:43:46
stockfish-16-r0.apk32.7 MiB2023-07-03 22:43:49
watershot-0.2.0-r0.apk1.4 MiB2023-07-03 22:43:50
glfw-wayland-3.3.8-r3.apk57.0 KiB2023-07-04 00:01:30
glfw-wayland-dev-3.3.8-r3.apk45.6 KiB2023-07-04 00:01:30
glfw-wayland-dbg-3.3.8-r3.apk201.0 KiB2023-07-04 00:01:30
ripasso-cursive-0.6.5-r0.apk2.6 MiB2023-07-09 21:30:31
dfu-programmer-1.1.0-r0.apk33.5 KiB2023-07-10 20:18:38
dfu-programmer-bash-completion-1.1.0-r0.apk2.8 KiB2023-07-10 20:18:38
dfu-programmer-doc-1.1.0-r0.apk5.7 KiB2023-07-10 20:18:38
perl-statistics-descriptive-3.0801-r0.apk30.3 KiB2023-07-13 13:39:33
perl-statistics-descriptive-doc-3.0801-r0.apk37.5 KiB2023-07-13 13:39:33
jwt-cli-6.0.0-r0.apk708.0 KiB2023-07-16 04:29:45
hypnotix-3.5-r0.apk110.0 KiB2023-07-17 23:33:09
hypnotix-lang-3.5-r0.apk72.4 KiB2023-07-17 23:33:09
gtklock-doc-2.1.0-r0.apk2.9 KiB2023-07-18 20:21:49
gtklock-2.1.0-r0.apk14.9 KiB2023-07-18 20:21:49
ckb-next-dev-0.6.0-r1.apk5.0 KiB2023-07-19 19:10:55
ckb-next-daemon-0.6.0-r1.apk67.8 KiB2023-07-19 19:10:55
ckb-next-0.6.0-r1.apk1.2 MiB2023-07-19 19:10:55
ckb-next-daemon-openrc-0.6.0-r1.apk1.8 KiB2023-07-19 19:10:55
cmusfm-0.5.0-r0.apk12.6 KiB2023-07-21 21:58:53
json2tsv-doc-1.1-r0.apk5.2 KiB2023-07-22 14:09:29
json2tsv-jaq-doc-1.1-r0.apk2.3 KiB2023-07-22 14:09:29
json2tsv-jaq-1.1-r0.apk1.9 KiB2023-07-22 14:09:29
json2tsv-1.1-r0.apk6.2 KiB2023-07-22 14:09:29
tang-dbg-14-r0.apk30.6 KiB2023-07-23 13:58:46
tang-14-r0.apk14.2 KiB2023-07-23 13:58:46
tang-openrc-14-r0.apk1.9 KiB2023-07-23 13:58:46
tang-doc-14-r0.apk20.6 KiB2023-07-23 13:58:46
jhead-3.08-r0.apk30.8 KiB2023-07-23 13:59:17
jhead-doc-3.08-r0.apk7.9 KiB2023-07-23 13:59:17
extremetuxracer-doc-0.8.3-r0.apk6.7 KiB2023-07-25 13:03:48
extremetuxracer-0.8.3-r0.apk39.5 MiB2023-07-25 13:03:48
xone-src-0.3_git20230517-r0.apk43.4 KiB2023-07-26 06:23:18
rtw89-src-7_p20230725-r0.apk758.7 KiB2023-07-26 06:23:18
rtl88x2bu-src-5.13.1_git20230711-r0.apk4.0 MiB2023-07-26 06:23:18
ansiweather-doc-1.19.0-r1.apk3.0 KiB2023-07-29 19:36:50
ansiweather-1.19.0-r1.apk4.7 KiB2023-07-29 19:36:50
cvise-pyc-2.8.0-r2.apk63.4 KiB2023-07-29 19:36:51
cvise-2.8.0-r2.apk5.0 MiB2023-07-29 19:36:51
eboard-doc-1.1.3-r1.apk4.6 KiB2023-07-29 19:36:51
eboard-1.1.3-r1.apk1.4 MiB2023-07-29 19:36:51
jalv-doc-1.6.8-r1.apk3.2 KiB2023-07-29 19:36:57
kbs2-0.7.2-r3.apk915.4 KiB2023-07-29 19:36:57
irccd-dev-4.0.3-r0.apk9.6 KiB2023-07-29 19:36:57
libaudec-tools-0.3.4-r3.apk26.4 KiB2023-07-29 19:36:57
kbs2-zsh-completion-0.7.2-r3.apk4.0 KiB2023-07-29 19:36:57
irccd-4.0.3-r0.apk222.8 KiB2023-07-29 19:36:57
jalv-gtk-1.6.8-r1.apk29.9 KiB2023-07-29 19:36:57
kbs2-fish-completion-0.7.2-r3.apk3.2 KiB2023-07-29 19:36:57
libaudec-static-0.3.4-r3.apk27.6 KiB2023-07-29 19:36:57
kbs2-bash-completion-0.7.2-r3.apk3.1 KiB2023-07-29 19:36:57
irccd-doc-4.0.3-r0.apk80.4 KiB2023-07-29 19:36:57
libaudec-dev-0.3.4-r3.apk4.3 KiB2023-07-29 19:36:57
libaudec-0.3.4-r3.apk26.3 KiB2023-07-29 19:36:57
irccd-openrc-4.0.3-r0.apk1.8 KiB2023-07-29 19:36:57
jalv-1.6.8-r1.apk43.1 KiB2023-07-29 19:36:57
libimobiledevice-glue-dev-1.0.0-r1.apk5.8 KiB2023-07-29 19:36:59
libjodycode-dev-3.1-r1.apk4.2 KiB2023-07-29 19:36:59
libjodycode-doc-3.1-r1.apk3.4 KiB2023-07-29 19:36:59
libsimplebluez-0.6.1-r1.apk112.7 KiB2023-07-29 19:36:59
libjodycode-3.1-r1.apk6.8 KiB2023-07-29 19:36:59
libimobiledevice-glue-1.0.0-r1.apk14.5 KiB2023-07-29 19:36:59
libsimpleble-c-0.6.1-r1.apk13.3 KiB2023-07-29 19:36:59
libsimpleble-0.6.1-r1.apk156.0 KiB2023-07-29 19:36:59
sndfile-tools-doc-1.5-r1.apk361.0 KiB2023-07-29 19:37:02
sndfile-tools-1.5-r1.apk35.6 KiB2023-07-29 19:37:02
simpleble-0.6.1-r1.apk1.4 KiB2023-07-29 19:37:02
simpleble-dev-0.6.1-r1.apk20.9 KiB2023-07-29 19:37:02
soapy-hackrf-0.3.4-r2.apk25.6 KiB2023-07-29 19:37:02
soapy-sdr-remote-openrc-0.5.2-r1.apk1.7 KiB2023-07-29 19:37:03
soapy-sdr-remote-doc-0.5.2-r1.apk2.3 KiB2023-07-29 19:37:03
sudo-ldap-1.9.14-r1.apk691.7 KiB2023-07-29 19:37:03
soapy-sdr-remote-0.5.2-r1.apk174.0 KiB2023-07-29 19:37:03
xisxwayland-doc-2-r1.apk2.0 KiB2023-07-29 19:37:07
xisxwayland-2-r1.apk3.8 KiB2023-07-29 19:37:07
paprefs-lang-1.2-r1.apk39.1 KiB2023-08-01 04:56:50
paprefs-1.2-r1.apk28.3 KiB2023-08-01 04:56:50
librespot-openrc-0.4.2-r4.apk1.9 KiB2023-08-01 04:56:50
librespot-0.4.2-r4.apk1.2 MiB2023-08-01 04:56:50
cddlib-static-0.94m-r2.apk218.1 KiB2023-08-01 14:54:06
cliquer-dev-1.22-r2.apk7.4 KiB2023-08-01 14:54:06
cliquer-libs-1.22-r2.apk21.2 KiB2023-08-01 14:54:06
bliss-dev-0.77-r1.apk93.8 KiB2023-08-01 14:54:06
coxeter-dev-3.0-r1.apk56.7 KiB2023-08-01 14:54:06
fflas-ffpack-2.5.0-r3.apk345.3 KiB2023-08-01 14:54:06
cddlib-dev-0.94m-r2.apk14.1 KiB2023-08-01 14:54:06
fast_float-5.2.0-r1.apk42.8 KiB2023-08-01 14:54:06
cddlib-tools-0.94m-r2.apk33.5 KiB2023-08-01 14:54:06
cliquer-tests-1.22-r2.apk24.0 KiB2023-08-01 14:54:06
cliquer-static-1.22-r2.apk23.4 KiB2023-08-01 14:54:06
coxeter-libs-3.0-r1.apk267.3 KiB2023-08-01 14:54:06
cddlib-0.94m-r2.apk157.0 KiB2023-08-01 14:54:06
coxeter-3.0-r1.apk48.5 KiB2023-08-01 14:54:06
bliss-0.77-r1.apk55.5 KiB2023-08-01 14:54:06
cddlib-doc-0.94m-r2.apk863.7 KiB2023-08-01 14:54:06
cliquer-1.22-r2.apk6.8 KiB2023-08-01 14:54:06
flintqs-1.0-r1.apk20.2 KiB2023-08-01 14:54:07
libm4rie-dev-20200125-r3.apk25.0 KiB2023-08-01 14:54:08
libmpfi-static-1.5.4-r2.apk40.0 KiB2023-08-01 14:54:08
libmpfi-1.5.4-r2.apk27.1 KiB2023-08-01 14:54:08
libbraiding-1.2-r2.apk43.3 KiB2023-08-01 14:54:08
libmpfi-doc-1.5.4-r2.apk18.7 KiB2023-08-01 14:54:08
libiml-static-1.0.5-r3.apk57.1 KiB2023-08-01 14:54:08
libntl-doc-11.5.1-r3.apk373.5 KiB2023-08-01 14:54:08
libarb-dev-2.23.0-r2.apk53.9 KiB2023-08-01 14:54:08
libntl-dev-11.5.1-r3.apk158.6 KiB2023-08-01 14:54:08
libiml-dev-1.0.5-r3.apk3.9 KiB2023-08-01 14:54:08
libgivaro-4.2.0-r2.apk71.4 KiB2023-08-01 14:54:08
k2-0_git20220807-r1.apk98.3 KiB2023-08-01 14:54:08
libgivaro-static-4.2.0-r2.apk90.5 KiB2023-08-01 14:54:08
libntl-11.5.1-r3.apk945.3 KiB2023-08-01 14:54:08
libarb-static-2.23.0-r2.apk1.6 MiB2023-08-01 14:54:08
libiml-1.0.5-r3.apk57.5 KiB2023-08-01 14:54:08
libbraiding-dev-1.2-r2.apk14.9 KiB2023-08-01 14:54:08
libm4ri-dev-20200125-r4.apk33.2 KiB2023-08-01 14:54:08
libm4ri-20200125-r4.apk121.2 KiB2023-08-01 14:54:08
libm4ri-static-20200125-r4.apk130.1 KiB2023-08-01 14:54:08
libgivaro-dev-4.2.0-r2.apk244.4 KiB2023-08-01 14:54:08
libmpfi-dev-1.5.4-r2.apk5.4 KiB2023-08-01 14:54:08
libm4rie-static-20200125-r3.apk214.5 KiB2023-08-01 14:54:08
libm4rie-20200125-r3.apk201.5 KiB2023-08-01 14:54:08
libarb-2.23.0-r2.apk1.3 MiB2023-08-01 14:54:08
libntl-static-11.5.1-r3.apk1.4 MiB2023-08-01 14:54:09
libsirocco-dev-2.1.0-r2.apk1.9 KiB2023-08-01 14:54:09
libsymmetrica-dev-3.0.1-r2.apk32.0 KiB2023-08-01 14:54:09
libsymmetrica-3.0.1-r2.apk3.8 MiB2023-08-01 14:54:09
litehtml-0.8-r2.apk244.1 KiB2023-08-01 14:54:09
libzn_poly-dev-0.9.2-r2.apk8.1 KiB2023-08-01 14:54:09
litehtml-dev-0.8-r2.apk39.9 KiB2023-08-01 14:54:09
mcqd-dev-1.0.0-r1.apk4.0 KiB2023-08-01 14:54:09
libzn_poly-0.9.2-r2.apk39.8 KiB2023-08-01 14:54:09
mcqd-1.0.0-r1.apk10.7 KiB2023-08-01 14:54:09
litehtml-static-0.8-r2.apk438.6 KiB2023-08-01 14:54:09
libsymmetrica-static-3.0.1-r2.apk4.2 MiB2023-08-01 14:54:09
libsirocco-2.1.0-r2.apk54.0 KiB2023-08-01 14:54:09
libzn_poly-static-0.9.2-r2.apk41.9 KiB2023-08-01 14:54:09
openslide-dev-3.4.1-r3.apk6.9 KiB2023-08-01 14:54:10
openslide-3.4.1-r3.apk77.4 KiB2023-08-01 14:54:10
palp-2.20-r1.apk3.9 MiB2023-08-01 14:54:10
openslide-doc-3.4.1-r3.apk4.9 KiB2023-08-01 14:54:10
openslide-tools-3.4.1-r3.apk9.9 KiB2023-08-01 14:54:10
rankwidth-dev-0.9-r3.apk2.9 KiB2023-08-01 14:54:14
rankwidth-libs-0.9-r3.apk4.6 KiB2023-08-01 14:54:14
qucs-s-lang-1.1.0-r1.apk864.5 KiB2023-08-01 14:54:14
rankwidth-doc-0.9-r3.apk3.0 KiB2023-08-01 14:54:14
plfit-libs-0.9.4-r2.apk23.2 KiB2023-08-01 14:54:14
planarity-doc-3.0.2.0-r2.apk12.9 KiB2023-08-01 14:54:14
qucs-s-doc-1.1.0-r1.apk2.3 KiB2023-08-01 14:54:14
rankwidth-static-0.9-r3.apk3.7 KiB2023-08-01 14:54:14
plfit-dev-0.9.4-r2.apk6.2 KiB2023-08-01 14:54:14
planarity-libs-3.0.2.0-r2.apk56.2 KiB2023-08-01 14:54:14
rankwidth-0.9-r3.apk4.9 KiB2023-08-01 14:54:14
planarity-3.0.2.0-r2.apk8.2 KiB2023-08-01 14:54:14
plfit-static-0.9.4-r2.apk27.7 KiB2023-08-01 14:54:14
qucs-s-1.1.0-r1.apk3.0 MiB2023-08-01 14:54:14
polyglot-2.0.4-r1.apk59.5 KiB2023-08-01 14:54:14
polyglot-doc-2.0.4-r1.apk47.6 KiB2023-08-01 14:54:14
planarity-dev-3.0.2.0-r2.apk19.3 KiB2023-08-01 14:54:14
plfit-0.9.4-r2.apk33.9 KiB2023-08-01 14:54:14
singular-static-4.3.2-r2.apk4.4 MiB2023-08-01 14:54:15
singular-emacs-4.3.2-r2.apk100.3 KiB2023-08-01 14:54:15
singular-doc-4.3.2-r2.apk1.3 MiB2023-08-01 14:54:15
singular-dev-4.3.2-r2.apk369.9 KiB2023-08-01 14:54:15
singular-4.3.2-r2.apk9.0 MiB2023-08-01 14:54:15
xboard-doc-4.9.1-r2.apk170.5 KiB2023-08-01 14:54:20
xmag-1.0.7-r1.apk14.3 KiB2023-08-01 14:54:20
xmag-doc-1.0.7-r1.apk4.7 KiB2023-08-01 14:54:20
xboard-4.9.1-r2.apk758.2 KiB2023-08-01 14:54:20
xboard-lang-4.9.1-r2.apk226.2 KiB2023-08-01 14:54:20
surfraw-doc-2.3.0-r0.apk17.7 KiB2023-08-01 20:41:25
surfraw-2.3.0-r0.apk78.7 KiB2023-08-01 20:41:25
xfce4-mpc-plugin-0.5.3-r0.apk17.7 KiB2023-08-02 07:59:01
xfce4-mpc-plugin-lang-0.5.3-r0.apk37.9 KiB2023-08-02 07:59:01
xfce4-diskperf-plugin-lang-2.7.0-r0.apk50.4 KiB2023-08-03 04:58:37
xfce4-diskperf-plugin-2.7.0-r0.apk15.6 KiB2023-08-03 04:58:37
xfce4-fsguard-plugin-1.1.3-r0.apk51.8 KiB2023-08-03 07:35:28
xfce4-fsguard-plugin-lang-1.1.3-r0.apk30.6 KiB2023-08-03 07:35:28
xfce4-smartbookmark-plugin-0.5.2-r0.apk9.1 KiB2023-08-03 15:34:32
xfce4-smartbookmark-plugin-lang-0.5.2-r0.apk20.7 KiB2023-08-03 15:34:32
libqb-tools-2.0.8-r0.apk3.2 KiB2023-08-03 16:08:55
libqb-dev-2.0.8-r0.apk28.7 KiB2023-08-03 16:08:55
libqb-doc-2.0.8-r0.apk156.1 KiB2023-08-03 16:08:55
libqb-2.0.8-r0.apk55.1 KiB2023-08-03 16:08:55
xfce4-netload-plugin-lang-1.4.1-r0.apk44.4 KiB2023-08-04 15:19:05
xfce4-netload-plugin-1.4.1-r0.apk24.9 KiB2023-08-04 15:19:05
toml2json-1.3.1-r0.apk318.7 KiB2023-08-05 10:40:53
toml2json-doc-1.3.1-r0.apk3.3 KiB2023-08-05 10:40:53
fakeroot-tcp-1.32.1-r1.apk25.4 KiB2023-08-05 17:12:39
thelounge-openrc-4.4.1-r0.apk1.7 KiB2023-08-07 05:55:18
thelounge-4.4.1-r0.apk16.1 MiB2023-08-07 05:55:18
xfce4-verve-plugin-2.0.3-r0.apk17.1 KiB2023-08-07 09:01:02
xfce4-verve-plugin-lang-2.0.3-r0.apk40.3 KiB2023-08-07 09:01:02
rtmidi-dev-6.0.0-r0.apk14.1 KiB2023-08-07 09:01:51
rtmidi-6.0.0-r0.apk27.0 KiB2023-08-07 09:01:51
zarchive-0.1.2-r2.apk14.2 KiB2023-08-07 20:57:39
zarchive-libs-0.1.2-r2.apk20.3 KiB2023-08-07 20:57:39
zarchive-dev-0.1.2-r2.apk6.7 KiB2023-08-07 20:57:39
gatling-0.16-r4.apk135.5 KiB2023-08-07 20:57:39
gatling-doc-0.16-r4.apk9.1 KiB2023-08-07 20:57:39
gatling-openrc-0.16-r4.apk2.8 KiB2023-08-07 20:57:39
rauc-doc-1.10.1-r0.apk4.1 KiB2023-08-08 17:38:53
rauc-service-1.10.1-r0.apk3.7 KiB2023-08-08 17:38:53
rauc-1.10.1-r0.apk139.6 KiB2023-08-08 17:38:53
starfighter-2.4-r0.apk47.9 MiB2023-08-08 20:37:31
starfighter-doc-2.4-r0.apk21.6 KiB2023-08-08 20:37:31
lkrg-doc-0.9.6-r0.apk21.8 KiB2023-08-09 10:57:13
lkrg-0.9.6-r0.apk109.6 KiB2023-08-09 10:57:13
igrep-doc-1.2.0-r0.apk4.1 KiB2023-08-09 15:40:26
igrep-1.2.0-r0.apk1.5 MiB2023-08-09 15:40:26
perl-number-tolerant-doc-1.710-r0.apk25.6 KiB2023-08-10 11:42:58
perl-number-tolerant-1.710-r0.apk14.8 KiB2023-08-10 11:42:58
tauri-cli-1.4.0-r2.apk4.0 MiB2023-08-11 23:56:22
zsh-manydots-magic-0_git20230607-r1.apk2.8 KiB2023-08-11 23:56:22
wiki-tui-doc-0.8.2-r0.apk4.6 KiB2023-08-12 06:00:15
wiki-tui-0.8.2-r0.apk1.4 MiB2023-08-12 06:00:15
percona-toolkit-3.5.4-r0.apk1.8 MiB2023-08-13 16:27:39
percona-toolkit-doc-3.5.4-r0.apk297.5 KiB2023-08-13 16:27:39
ifuse-doc-1.1.4-r4.apk2.4 KiB2023-08-13 19:16:15
ifuse-1.1.4-r4.apk9.5 KiB2023-08-13 19:16:15
wol-0.7.1-r2.apk22.4 KiB2023-08-17 20:38:34
wol-lang-0.7.1-r2.apk8.2 KiB2023-08-17 20:38:34
queercat-1.0.0-r0.apk7.7 KiB2023-08-17 20:38:34
wol-doc-0.7.1-r2.apk5.5 KiB2023-08-17 20:38:34
libbsoncxx-dev-3.8.0-r0.apk38.7 KiB2023-08-19 20:09:03
libbsoncxx-3.8.0-r0.apk40.3 KiB2023-08-19 20:09:03
mongo-cxx-driver-3.8.0-r0.apk159.3 KiB2023-08-19 20:09:04
mongo-cxx-driver-dev-3.8.0-r0.apk88.9 KiB2023-08-19 20:09:04
asymptote-2.86-r0.apk1.3 MiB2023-08-20 13:39:27
asymptote-doc-2.86-r0.apk2.8 MiB2023-08-20 13:39:28
xmp-4.2.0-r0.apk20.5 KiB2023-08-20 22:07:58
xmp-doc-4.2.0-r0.apk5.3 KiB2023-08-20 22:07:58
avra-1.4.2-r0.apk34.2 KiB2023-08-21 05:36:19
avra-dev-1.4.2-r0.apk254.7 KiB2023-08-21 05:36:19
shutdown-clear-machine-id-1.0.0-r0.apk1.8 KiB2023-08-21 10:45:05
greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk2.2 KiB2023-08-22 10:22:36
greetd-mini-wl-greeter-0_git20230821-r0.apk17.6 KiB2023-08-22 10:22:36
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk3.3 KiB2023-08-22 10:22:36
flatpak-xdg-utils-1.0.5-r0.apk17.6 KiB2023-08-22 15:51:44
sqm-scripts-1.6.0-r0.apk20.4 KiB2023-08-22 20:00:16
tintin-2.02.31-r0.apk1.8 MiB2023-08-26 11:00:45
libofx-dev-0.10.9-r1.apk19.6 KiB2023-08-26 11:16:16
libofx-0.10.9-r1.apk59.4 KiB2023-08-26 11:16:16
libofx-tools-0.10.9-r1.apk101.3 KiB2023-08-26 11:16:16
tere-1.5.1-r0.apk920.5 KiB2023-08-26 16:39:10
tere-doc-1.5.1-r0.apk13.8 KiB2023-08-26 16:39:10
jdupes-doc-1.27.3-r0.apk7.9 KiB2023-08-27 20:22:50
jdupes-1.27.3-r0.apk26.4 KiB2023-08-27 20:22:50
noson-app-5.4.1-r1.apk1.1 MiB2023-08-28 12:03:32
noson-app-dbg-5.4.1-r1.apk12.7 MiB2023-08-28 12:03:41
elfio-3.12-r0.apk1.4 KiB2023-08-30 04:54:44
elfio-dev-3.12-r0.apk55.0 KiB2023-08-30 04:54:44
asteroid-diamonds-2.0.0-r0.apk17.1 KiB2023-08-31 09:41:35
asteroid-settings-2.0.0-r0.apk84.7 KiB2023-08-31 09:41:35
asteroid-camera-2.0.0-r0.apk4.5 KiB2023-08-31 09:41:35
asteroid-alarmclock-2.0.0-r0.apk27.1 KiB2023-08-31 09:41:35
asteroid-weather-2.0.0-r0.apk19.0 KiB2023-08-31 09:41:35
asteroid-launcher-dbg-2.0.0-r0.apk939.6 KiB2023-08-31 09:41:35
asteroid-calendar-2.0.0-r0.apk21.9 KiB2023-08-31 09:41:35
asteroid-compass-2.0.0-r0.apk13.0 KiB2023-08-31 09:41:35
asteroid-calculator-2.0.0-r0.apk15.6 KiB2023-08-31 09:41:35
asteroid-timer-2.0.0-r0.apk12.0 KiB2023-08-31 09:41:35
asteroid-launcher-2.0.0-r0.apk1.7 MiB2023-08-31 09:41:35
asteroid-flashlight-2.0.0-r0.apk11.2 KiB2023-08-31 09:41:35
asteroid-stopwatch-2.0.0-r0.apk11.7 KiB2023-08-31 09:41:35
asteroid-music-2.0.0-r0.apk14.2 KiB2023-08-31 09:41:35
asteroid-hrm-2.0.0-r0.apk13.3 KiB2023-08-31 09:41:35
qml-asteroid-dbg-2.0.0-r0.apk1.9 MiB2023-08-31 09:41:36
qml-asteroid-dev-2.0.0-r0.apk11.1 KiB2023-08-31 09:41:36
qml-asteroid-2.0.0-r0.apk62.4 KiB2023-08-31 09:41:36
sway-audio-idle-inhibit-0.1.1_git20230809-r0.apk8.6 KiB2023-08-31 10:46:47
asteroid-btsyncd-2.0.0-r0.apk70.1 KiB2023-09-01 06:31:47
asteroid-icons-ion-2.0.0-r0.apk223.2 KiB2023-09-01 06:31:47
asteroid-wallpapers-2.0.0-r0.apk5.5 MiB2023-09-01 06:31:48
turnstile-0.1.8-r0.apk32.0 KiB2023-09-03 04:03:18
turnstile-openrc-0.1.8-r0.apk1.8 KiB2023-09-03 04:03:18
turnstile-doc-0.1.8-r0.apk5.7 KiB2023-09-03 04:03:18
perl-email-abstract-doc-3.010-r0.apk12.9 KiB2023-09-03 11:02:47
perl-email-abstract-3.010-r0.apk7.6 KiB2023-09-03 11:02:47
wget2-dbg-2.1.0-r0.apk861.7 KiB2023-09-04 09:49:06
wget2-doc-2.1.0-r0.apk70.4 KiB2023-09-04 09:49:06
wget2-libs-2.1.0-r0.apk183.3 KiB2023-09-04 09:49:06
wget2-dev-2.1.0-r0.apk1007.7 KiB2023-09-04 09:49:06
wget2-2.1.0-r0.apk99.5 KiB2023-09-04 09:49:06
speedtest-5.2.5-r1.apk253.5 KiB2023-09-04 12:00:41
speedtest-examples-5.2.5-r1.apk13.0 KiB2023-09-04 12:00:41
speedtest-doc-5.2.5-r1.apk18.4 KiB2023-09-04 12:00:41
theme.sh-doc-1.1.5-r0.apk2.3 KiB2023-09-05 09:47:56
theme.sh-1.1.5-r0.apk39.0 KiB2023-09-05 09:47:56
bees-openrc-0.10-r0.apk1.9 KiB2023-09-05 11:59:29
bees-0.10-r0.apk557.4 KiB2023-09-05 11:59:29
kodi-inputstream-adaptive-20.3.12-r0.apk1.1 MiB2023-09-05 17:18:40
kodi-pvr-iptvsimple-20.11.0-r0.apk888.8 KiB2023-09-05 18:42:31
boxes-doc-2.2.1-r0.apk6.9 KiB2023-09-06 13:12:02
boxes-2.2.1-r0.apk51.4 KiB2023-09-06 13:12:02
termusic-mpv-0.7.11-r0.apk5.4 MiB2023-09-06 15:46:20
svgbob-0.7.2-r0.apk386.2 KiB2023-09-09 21:44:31
way-displays-1.8.1-r2.apk65.5 KiB2023-09-11 10:02:31
way-displays-doc-1.8.1-r2.apk4.1 KiB2023-09-11 10:02:31
grcov-0.8.19-r1.apk1.6 MiB2023-09-11 14:51:02
devil-dev-1.8.0-r0.apk12.9 KiB2023-09-12 10:20:38
devil-1.8.0-r0.apk206.3 KiB2023-09-12 10:20:38
openssl1.1-compat-dbg-1.1.1w-r0.apk3.6 MiB2023-09-12 11:01:32
openssl1.1-compat-1.1.1w-r0.apk228.0 KiB2023-09-12 11:01:32
libssl1.1-1.1.1w-r0.apk172.7 KiB2023-09-12 11:01:32
openssl1.1-compat-dev-1.1.1w-r0.apk261.4 KiB2023-09-12 11:01:32
libcrypto1.1-1.1.1w-r0.apk924.4 KiB2023-09-12 11:01:32
openssl1.1-compat-libs-static-1.1.1w-r0.apk5.9 MiB2023-09-12 11:01:33
perl-starman-0.4017-r0.apk13.4 KiB2023-09-14 10:42:35
perl-starman-doc-0.4017-r0.apk10.0 KiB2023-09-14 10:42:35
log4cxx-1.1.0-r1.apk454.1 KiB2023-09-16 10:06:01
log4cxx-dev-1.1.0-r1.apk141.8 KiB2023-09-16 10:06:01
dublin-traceroute-contrib-0.4.2-r3.apk2.9 KiB2023-09-16 21:20:43
dublin-traceroute-0.4.2-r3.apk42.2 KiB2023-09-16 21:20:43
dublin-traceroute-doc-0.4.2-r3.apk2.3 KiB2023-09-16 21:20:43
dublin-traceroute-dev-0.4.2-r3.apk6.9 KiB2023-09-16 21:20:43
font-intel-one-mono-1.3.0-r0.apk281.4 KiB2023-09-17 16:44:58
php83-pecl-jsmin-3.0.0-r0.apk9.1 KiB2023-09-17 21:55:30
php82-pecl-jsmin-3.0.0-r0.apk9.1 KiB2023-09-17 21:55:30
php81-pecl-jsmin-3.0.0-r0.apk9.1 KiB2023-09-17 21:55:30
libuninameslist-doc-20230916-r0.apk2.0 KiB2023-09-18 04:50:41
libuninameslist-20230916-r0.apk425.2 KiB2023-09-18 04:50:41
libuninameslist-dev-20230916-r0.apk3.4 KiB2023-09-18 04:50:41
3proxy-0.9.4-r0.apk269.6 KiB2023-09-18 05:37:10
3proxy-doc-0.9.4-r0.apk24.9 KiB2023-09-18 05:37:10
3proxy-openrc-0.9.4-r0.apk1.7 KiB2023-09-18 05:37:10
ibus-rime-1.5.0-r1.apk22.0 KiB2023-09-18 11:42:44
neard-doc-0.19-r0.apk5.6 KiB2023-09-19 19:49:47
neard-dev-0.19-r0.apk11.1 KiB2023-09-19 19:49:47
neard-0.19-r0.apk123.8 KiB2023-09-19 19:49:47
neard-openrc-0.19-r0.apk1.7 KiB2023-09-19 19:49:47
libm17n-flt-1.8.4-r1.apk17.3 KiB2023-09-19 21:47:52
m17n-lib-tools-1.8.4-r1.apk34.5 KiB2023-09-19 21:47:52
libm17n-core-1.8.4-r1.apk49.9 KiB2023-09-19 21:47:52
m17n-lib-1.8.4-r1.apk139.5 KiB2023-09-19 21:47:52
m17n-lib-dev-1.8.4-r1.apk39.1 KiB2023-09-19 21:47:52
pegtl-doc-3.2.7-r0.apk2.2 KiB2023-09-22 01:16:13
pegtl-3.2.7-r0.apk83.6 KiB2023-09-22 01:16:13
cutechess-cli-1.3.1-r0.apk304.8 KiB2023-09-24 18:36:54
cutechess-1.3.1-r0.apk1.0 MiB2023-09-24 18:36:54
cutechess-cli-doc-1.3.1-r0.apk6.6 KiB2023-09-24 18:36:54
cutechess-doc-1.3.1-r0.apk3.5 KiB2023-09-24 18:36:54
plots-0.7.0-r0.apk506.3 KiB2023-09-25 04:43:10
kjv-0_git20221103-r0.apk1.5 MiB2023-09-25 04:50:37
ecasound-doc-2.9.3-r3.apk38.6 KiB2023-09-25 19:23:54
mp3gain-1.6.2-r2.apk30.4 KiB2023-09-25 19:23:54
ecasound-2.9.3-r3.apk621.4 KiB2023-09-25 19:23:54
ecasound-dev-2.9.3-r3.apk1.1 MiB2023-09-25 19:23:54
rust-script-0.34.0-r0.apk791.8 KiB2023-09-27 21:45:40
bonzomatic-20230615-r0.apk587.1 KiB2023-09-28 05:16:37
libhwpwm-dev-0.4.4-r0.apk5.2 KiB2023-09-28 18:50:23
libhwpwm-0.4.4-r0.apk5.8 KiB2023-09-28 18:50:23
libhwpwm-doc-0.4.4-r0.apk13.0 KiB2023-09-28 18:50:23
netdiscover-0.10-r0.apk487.5 KiB2023-09-29 23:01:15
netdiscover-doc-0.10-r0.apk22.0 KiB2023-09-29 23:01:15
mailtutan-0.3.0-r0.apk1.1 MiB2023-10-02 09:13:47
scrypt-1.3.2-r0.apk25.0 KiB2023-10-03 09:43:08
scrypt-doc-1.3.2-r0.apk4.3 KiB2023-10-03 09:43:08
postgresql-pg_variables-bitcode-1.2.4_git20220909-r2.apk53.6 KiB2023-10-04 05:44:56
postgresql-pg_variables-1.2.4_git20220909-r2.apk20.2 KiB2023-10-04 05:44:56
iipsrv-doc-1.2-r0.apk6.4 KiB2023-10-05 05:24:48
iipsrv-openrc-1.2-r0.apk1.9 KiB2023-10-05 05:24:48
iipsrv-apache-1.2-r0.apk1.6 KiB2023-10-05 05:24:48
iipsrv-1.2-r0.apk111.4 KiB2023-10-05 05:24:48
iipsrv-lighttpd-1.2-r0.apk1.6 KiB2023-10-05 05:24:48
dumb_runtime_dir-1.0.4-r2.apk3.4 KiB2023-10-05 08:29:54
rathole-0.5.0-r0.apk1.3 MiB2023-10-05 20:23:07
py3-imageio-ffmpeg-0.4.9-r0.apk16.5 KiB2023-10-05 21:12:03
py3-imageio-ffmpeg-pyc-0.4.9-r0.apk21.2 KiB2023-10-05 21:12:03
wroomd-openrc-0.1.0-r0.apk1.7 KiB2023-10-06 05:48:18
bananui-daemons-0.1.0-r0.apk37.2 KiB2023-10-06 05:48:18
alarmwakeup-utils-0.2.1-r0.apk3.7 KiB2023-10-06 05:48:18
bananui-demos-2.0.0-r0.apk7.9 KiB2023-10-06 05:48:18
alarmwakeup-dev-0.2.1-r0.apk2.5 KiB2023-10-06 05:48:18
bananui-dbg-2.0.0-r0.apk172.9 KiB2023-10-06 05:48:18
bananui-clock-0.1.0-r0.apk6.6 KiB2023-10-06 05:48:18
alarmwakeup-dbg-0.2.1-r0.apk18.5 KiB2023-10-06 05:48:18
bananui-dev-2.0.0-r0.apk81.1 KiB2023-10-06 05:48:18
alarmwakeup-libs-0.2.1-r0.apk3.9 KiB2023-10-06 05:48:18
bananui-2.0.0-r0.apk48.1 KiB2023-10-06 05:48:18
wroomd-0.1.0-r0.apk898.4 KiB2023-10-06 05:48:18
alarmwakeup-0.2.1-r0.apk6.3 KiB2023-10-06 05:48:18
bobcat-dev-4.09.00-r0.apk695.9 KiB2023-10-06 06:58:32
bobcat-4.09.00-r0.apk639.9 KiB2023-10-06 06:58:32
bobcat-doc-4.09.00-r0.apk345.4 KiB2023-10-06 06:58:32
icmake-9.03.01-r0.apk94.3 KiB2023-10-06 15:44:36
icmake-doc-9.03.01-r0.apk127.4 KiB2023-10-06 15:44:36
libtommath-1.2.1-r0.apk31.7 KiB2023-10-06 16:20:58
libtommath-dev-1.2.1-r0.apk55.6 KiB2023-10-06 16:20:58
harminv-dev-1.4.2-r1.apk3.1 KiB2023-10-09 16:23:50
harminv-libs-1.4.2-r1.apk10.9 KiB2023-10-09 16:23:50
harminv-1.4.2-r1.apk7.1 KiB2023-10-09 16:23:50
harminv-doc-1.4.2-r1.apk5.6 KiB2023-10-09 16:23:50
lipstick-asteroidos-2.0.0-r1.apk267.8 KiB2023-10-09 16:23:56
lipstick-asteroidos-dev-2.0.0-r1.apk29.1 KiB2023-10-09 16:23:59
lipstick-asteroidos-dbg-2.0.0-r1.apk13.8 MiB2023-10-09 16:23:59
lipstick-asteroidos-tools-2.0.0-r1.apk19.7 KiB2023-10-09 16:23:59
video-trimmer-lang-0.8.2-r0.apk83.6 KiB2023-10-09 16:24:13
video-trimmer-0.8.2-r0.apk684.3 KiB2023-10-09 16:24:13
pnmixer-0.7.2-r3.apk137.7 KiB2023-10-12 11:25:31
pnmixer-lang-0.7.2-r3.apk24.8 KiB2023-10-12 11:25:31
pnmixer-doc-0.7.2-r3.apk2.3 KiB2023-10-12 11:25:31
khronos-4.0.1-r0.apk46.8 KiB2023-10-13 15:26:34
khronos-lang-4.0.1-r0.apk25.7 KiB2023-10-13 15:26:34
openra-20231010-r0.apk23.3 MiB2023-10-13 15:31:42
phoronix-test-suite-10.8.4-r2.apk3.9 MiB2023-10-13 18:32:14
phoronix-test-suite-doc-10.8.4-r2.apk287.5 KiB2023-10-13 18:32:14
phoronix-test-suite-bash-completion-10.8.4-r2.apk1.7 KiB2023-10-13 18:32:14
jami-qt-doc-20230925-r0.apk2.7 KiB2023-10-14 21:17:05
jami-qt-20230925-r0.apk13.4 MiB2023-10-14 21:17:05
jami-qt-lang-20230925-r0.apk2.3 MiB2023-10-14 21:17:07
wmutils-doc-1.7-r1.apk8.6 KiB2023-10-14 21:17:15
wmutils-1.7-r1.apk19.6 KiB2023-10-14 21:17:15
perl-number-misc-1.2-r5.apk5.2 KiB2023-10-17 16:36:24
perl-test-toolbox-doc-0.4-r5.apk6.2 KiB2023-10-17 16:36:24
perl-test-toolbox-0.4-r5.apk9.8 KiB2023-10-17 16:36:24
perl-number-misc-doc-1.2-r5.apk4.4 KiB2023-10-17 16:36:24
kdiskmark-lang-3.1.4-r1.apk26.7 KiB2023-10-17 16:45:38
kdiskmark-3.1.4-r1.apk153.7 KiB2023-10-17 16:45:38
rizin-doc-0.6.3-r0.apk17.6 KiB2023-10-18 15:52:12
rizin-0.6.3-r0.apk2.7 MiB2023-10-18 15:52:12
rizin-dev-0.6.3-r0.apk306.1 KiB2023-10-18 15:52:12
rizin-libs-0.6.3-r0.apk3.7 MiB2023-10-18 15:52:13
libuecc-dev-7-r3.apk4.7 KiB2023-10-18 16:22:45
libuecc-7-r3.apk8.4 KiB2023-10-18 16:22:45
mat2-doc-0.13.4-r1.apk7.7 KiB2023-10-19 16:10:38
mat2-pyc-0.13.4-r1.apk56.7 KiB2023-10-19 16:10:38
azure-iot-sdk-c-static-1.11.0-r0.apk737.5 KiB2023-10-19 16:10:38
nitro-dev-2.7_beta8-r2.apk190.0 KiB2023-10-19 16:10:38
nitro-2.7_beta8-r2.apk473.4 KiB2023-10-19 16:10:38
mat2-0.13.4-r1.apk32.2 KiB2023-10-19 16:10:38
dex-0.9.0-r1.apk7.9 KiB2023-10-19 16:11:07
dex-doc-0.9.0-r1.apk5.8 KiB2023-10-19 16:11:07
libbamf-doc-0.5.6-r1.apk31.1 KiB2023-10-20 07:29:15
libbamf-0.5.6-r1.apk126.3 KiB2023-10-20 07:29:15
libbamf-dev-0.5.6-r1.apk6.4 KiB2023-10-20 07:29:15
py3-certauth-1.3.0-r0.apk8.7 KiB2023-10-21 08:20:40
py3-certauth-pyc-1.3.0-r0.apk9.7 KiB2023-10-21 08:20:40
openfpgaloader-0.11.0-r0.apk1.9 MiB2023-10-21 08:35:34
fastd-openrc-22-r3.apk1.7 KiB2023-10-22 03:37:36
fastd-doc-22-r3.apk3.3 KiB2023-10-22 03:37:36
fastd-22-r3.apk63.9 KiB2023-10-22 03:37:36
rdedup-3.2.1-r4.apk970.7 KiB2023-10-22 03:37:45
fplll-dev-5.4.5-r0.apk79.4 KiB2023-10-22 08:06:22
fplll-libs-5.4.5-r0.apk6.4 MiB2023-10-22 08:06:22
fplll-5.4.5-r0.apk42.6 KiB2023-10-22 08:06:22
fplll-static-5.4.5-r0.apk8.1 MiB2023-10-22 08:06:23
fplll-strategies-5.4.5-r0.apk1.7 MiB2023-10-22 08:06:24
sturmreader-3.7.2-r0.apk1.0 MiB2023-10-22 08:34:25
sturmreader-lang-3.7.2-r0.apk39.5 KiB2023-10-22 08:34:25
qt6ct-0.9-r0.apk181.5 KiB2023-10-23 02:39:11
uasm-2.56.2-r0.apk258.8 KiB2023-10-23 02:39:11
youtube-tui-0.8.0-r0.apk1.2 MiB2023-10-26 13:55:17
zutty-0.14-r0.apk132.8 KiB2023-10-27 05:37:20
libcotp-2.0.2-r0.apk7.0 KiB2023-10-28 09:40:49
libcotp-dev-2.0.2-r0.apk2.5 KiB2023-10-28 09:40:49
py3-wsgiprox-pyc-1.5.2-r0.apk29.6 KiB2023-10-28 23:53:38
py3-wsgiprox-1.5.2-r0.apk16.7 KiB2023-10-28 23:53:38
dbus-waiter-0.2.0-r0.apk591.9 KiB2023-10-29 12:12:53
plib-1.8.5-r3.apk836.2 KiB2023-10-30 00:36:33
libcorkipset-tools-1.1.1-r4.apk11.3 KiB2023-10-30 10:37:42
libcorkipset-1.1.1-r4.apk10.5 KiB2023-10-30 10:37:42
libcorkipset-dev-1.1.1-r4.apk8.1 KiB2023-10-30 10:37:42
wabt-doc-1.0.34-r0.apk13.7 KiB2023-10-30 23:11:07
wabt-1.0.34-r0.apk4.8 MiB2023-10-30 23:11:07
gnome-latex-3.44.0-r5.apk344.1 KiB2023-10-31 11:13:37
game-devices-udev-0.22-r2.apk6.8 KiB2023-10-31 11:13:37
gnome-latex-doc-3.44.0-r5.apk111.8 KiB2023-10-31 11:13:37
gnome-latex-lang-3.44.0-r5.apk397.3 KiB2023-10-31 11:13:37
freedoom-0.12.1-r2.apk11.7 MiB2023-10-31 11:13:37
freedoom-doc-0.12.1-r2.apk58.3 KiB2023-10-31 11:13:37
mint-x-icons-1.6.5-r1.apk22.7 MiB2023-10-31 11:13:39
mint-x-icons-doc-1.6.5-r1.apk7.2 KiB2023-10-31 11:13:39
xdg-user-dirs-gtk-0.11-r2.apk13.7 KiB2023-10-31 11:13:45
xdg-user-dirs-gtk-lang-0.11-r2.apk49.9 KiB2023-10-31 11:13:45
xfce4-mixer-doc-4.18.1-r2.apk2.5 KiB2023-10-31 11:13:45
xfce4-mailwatch-plugin-lang-1.3.1-r1.apk149.2 KiB2023-10-31 11:13:45
xfce4-mixer-4.18.1-r2.apk77.6 KiB2023-10-31 11:13:45
py3-pyglm-2.7.1-r0.apk1.4 MiB2023-10-31 11:13:45
xfce4-mailwatch-plugin-1.3.1-r1.apk51.0 KiB2023-10-31 11:13:45
xfce4-mixer-lang-4.18.1-r2.apk58.7 KiB2023-10-31 11:13:45
tmpmail-1.2.3-r2.apk7.0 KiB2023-11-01 10:12:24
tmpmail-doc-1.2.3-r2.apk3.2 KiB2023-11-01 10:12:24
sacc-doc-1.07-r0.apk2.8 KiB2023-11-02 11:01:54
sacc-1.07-r0.apk13.7 KiB2023-11-02 11:01:54
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk4.0 KiB2023-11-02 12:01:41
perl-anyevent-dns-etchosts-0.0105-r0.apk5.1 KiB2023-11-02 12:01:41
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r0.apk21.4 KiB2023-11-02 12:01:41
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r0.apk716.1 KiB2023-11-02 12:01:41
charls-2.4.2-r0.apk56.4 KiB2023-11-03 08:37:47
charls-dev-2.4.2-r0.apk26.6 KiB2023-11-03 08:37:47
libspatialindex-dev-0_git20210205-r1.apk22.0 KiB2023-11-03 08:38:29
libspatialindex-0_git20210205-r1.apk288.3 KiB2023-11-03 08:38:29
qtpass-1.4.0-r0.apk408.7 KiB2023-11-03 08:38:50
qtpass-doc-1.4.0-r0.apk2.1 KiB2023-11-03 08:38:50
libmysofa-tools-1.3.2-r0.apk1.1 MiB2023-11-03 22:46:43
libmysofa-1.3.2-r0.apk22.8 KiB2023-11-03 22:46:43
libmysofa-dev-1.3.2-r0.apk7.0 KiB2023-11-03 22:46:43
anari-sdk-0.7.2-r0.apk280.3 KiB2023-11-04 01:43:13
anari-sdk-static-0.7.2-r0.apk192.6 KiB2023-11-04 01:43:13
anari-sdk-dev-0.7.2-r0.apk58.6 KiB2023-11-04 01:43:13
hx-doc-1.0.14-r0.apk4.8 KiB2023-11-04 10:25:25
hx-1.0.14-r0.apk13.1 KiB2023-11-04 10:25:25
lol-html-dev-1.1.1-r0.apk6.5 KiB2023-11-04 13:07:53
lol-html-1.1.1-r0.apk409.3 KiB2023-11-04 13:07:53
lomiri-api-0.2.1-r0.apk30.2 KiB2023-11-04 16:55:51
gsettings-qt-dev-0.2_git20220807-r0.apk3.6 KiB2023-11-04 16:55:51
qdjango-0.6.2-r0.apk88.3 KiB2023-11-04 16:55:51
qdjango-dev-0.6.2-r0.apk14.1 KiB2023-11-04 16:55:51
lomiri-api-dev-0.2.1-r0.apk33.3 KiB2023-11-04 16:55:51
gsettings-qt-0.2_git20220807-r0.apk38.2 KiB2023-11-04 16:55:51
libqtdbustest-0.3.2-r0.apk28.2 KiB2023-11-04 16:55:51
gmenuharness-0.1.4-r0.apk32.2 KiB2023-11-05 16:34:06
gmenuharness-dev-0.1.4-r0.apk4.3 KiB2023-11-05 16:34:06
keepassxc-browser-1.8.9-r0.apk876.4 KiB2023-11-06 21:35:11
bcnm-0.0.1.7-r0.apk13.0 KiB2023-11-06 21:49:34
dnsfunnel-openrc-0.0.1.6-r0.apk1.8 KiB2023-11-06 21:49:34
bcnm-doc-0.0.1.7-r0.apk11.4 KiB2023-11-06 21:49:34
dnsfunnel-doc-0.0.1.6-r0.apk7.1 KiB2023-11-06 21:49:34
dnsfunnel-0.0.1.6-r0.apk12.5 KiB2023-11-06 21:49:34
bcnm-dev-0.0.1.7-r0.apk16.0 KiB2023-11-06 21:49:34
brltty-dev-6.6-r1.apk146.1 KiB2023-11-09 19:06:37
brltty-doc-6.6-r1.apk9.5 KiB2023-11-09 19:06:37
brltty-static-6.6-r1.apk22.1 KiB2023-11-09 19:06:37
brltty-6.6-r1.apk1.8 MiB2023-11-09 19:06:37
brltty-lang-6.6-r1.apk120.9 KiB2023-11-09 19:06:37
ding-libs-dev-0.6.2-r4.apk67.9 KiB2023-11-09 19:07:39
ding-libs-0.6.2-r4.apk59.5 KiB2023-11-09 19:07:39
openttd-13.4-r1.apk4.2 MiB2023-11-09 19:07:59
openttd-doc-13.4-r1.apk221.7 KiB2023-11-09 19:07:59
openttd-lang-13.4-r1.apk3.3 MiB2023-11-09 19:08:00
znc-playback-0_git20210503-r7.apk17.3 KiB2023-11-09 19:08:13
znc-push-0_git20220823-r7.apk82.1 KiB2023-11-09 19:08:13
znc-clientbuffer-1.0.48-r7.apk16.0 KiB2023-11-09 19:08:13
znc-backlog-0_git20210503-r7.apk18.8 KiB2023-11-09 19:08:13
gtk4-layer-shell-1.0.2-r0.apk12.6 KiB2023-11-10 22:25:02
gtk4-layer-shell-dev-1.0.2-r0.apk9.2 KiB2023-11-10 22:25:02
gtk4-layer-shell-demo-1.0.2-r0.apk9.1 KiB2023-11-10 22:25:02
mml-1.0.0-r0.apk767.1 KiB2023-11-10 23:21:24
mml-doc-1.0.0-r0.apk3.9 KiB2023-11-10 23:21:24
mml-fish-completion-1.0.0-r0.apk2.3 KiB2023-11-10 23:21:24
mml-zsh-completion-1.0.0-r0.apk2.8 KiB2023-11-10 23:21:24
mml-bash-completion-1.0.0-r0.apk2.3 KiB2023-11-10 23:21:24
font-monaspace-1.000-r0.apk1.5 KiB2023-11-11 16:12:24
font-monaspace-argon-1.000-r0.apk2.1 MiB2023-11-11 16:12:24
font-monaspace-radon-1.000-r0.apk2.7 MiB2023-11-11 16:12:25
font-monaspace-krypton-1.000-r0.apk2.0 MiB2023-11-11 16:12:25
font-monaspace-neon-1.000-r0.apk2.1 MiB2023-11-11 16:12:25
font-monaspace-xenon-1.000-r0.apk2.3 MiB2023-11-11 16:12:25
mmtc-0.3.2-r0.apk438.0 KiB2023-11-12 10:22:01
cdba-server-1.0-r0.apk18.4 KiB2023-11-12 13:27:14
cdba-1.0-r0.apk7.4 KiB2023-11-12 13:27:14
glslviewer-3.2.4-r0.apk1.6 MiB2023-11-13 21:09:03
motion-4.6.0-r0.apk134.2 KiB2023-11-13 22:52:23
motion-lang-4.6.0-r0.apk487.7 KiB2023-11-13 22:52:23
motion-doc-4.6.0-r0.apk140.9 KiB2023-11-13 22:52:23
motion-openrc-4.6.0-r0.apk2.2 KiB2023-11-13 22:52:23
sflowtool-doc-6.02-r0.apk9.3 KiB2023-11-13 22:59:58
sflowtool-6.02-r0.apk41.3 KiB2023-11-13 22:59:58
snore-doc-0.3.1-r0.apk3.0 KiB2023-11-14 19:46:34
snore-0.3.1-r0.apk4.7 KiB2023-11-14 19:46:34
kismet-logtools-0.202307.1-r2.apk978.2 KiB2023-11-15 15:23:48
kismet-nrf-51822-0.202307.1-r2.apk37.2 KiB2023-11-15 15:23:48
kismet-0.202307.1-r2.apk11.1 MiB2023-11-15 15:23:48
kismet-linux-bluetooth-0.202307.1-r2.apk40.3 KiB2023-11-15 15:23:48
kismet-linux-wifi-0.202307.1-r2.apk58.5 KiB2023-11-15 15:23:48
kismet-nxp-kw41z-0.202307.1-r2.apk38.8 KiB2023-11-15 15:23:48
nsjail-3.4-r2.apk167.5 KiB2023-11-15 15:23:59
nsjail-doc-3.4-r2.apk23.8 KiB2023-11-15 15:23:59
otpclient-3.2.1-r1.apk97.2 KiB2023-11-15 15:24:01
otpclient-doc-3.2.1-r1.apk3.5 KiB2023-11-15 15:24:01
perl-anyevent-future-0.05-r0.apk5.1 KiB2023-11-15 16:02:19
perl-anyevent-future-doc-0.05-r0.apk5.4 KiB2023-11-15 16:02:19
tree-sitter-git-rebase-0_git20220110-r2.apk6.9 KiB2023-11-15 21:53:40
tree-sitter-hare-0_git20230616-r1.apk33.3 KiB2023-11-15 21:53:40
tree-sitter-hcl-1.1.0-r1.apk38.5 KiB2023-11-15 21:53:40
tree-sitter-dart-0_git20230123-r1.apk91.0 KiB2023-11-15 21:53:40
tree-sitter-make-0_git20211216-r2.apk41.5 KiB2023-11-15 21:53:40
tree-sitter-ron-0_git20220508-r1.apk26.4 KiB2023-11-15 21:53:40
tree-sitter-git-commit-0_git20211225-r2.apk12.9 KiB2023-11-15 21:53:40
qflipper-1.3.3-r0.apk401.7 KiB2023-11-15 23:42:31
qflipper-gui-1.3.3-r0.apk1004.0 KiB2023-11-15 23:42:32
tree-sitter-git-diff-0_git20230730-r0.apk9.5 KiB2023-11-16 23:15:16
logc-czmq-0.1.0-r0.apk3.8 KiB2023-11-18 17:32:30
sentinel-proxy-2.1.0-r0.apk35.7 KiB2023-11-18 17:32:30
logc-dev-0.5.0-r0.apk8.9 KiB2023-11-18 17:32:30
logc-argp-0.5.0-r0.apk13.5 KiB2023-11-18 17:32:30
logc-libs-0.1.0-r0.apk1.4 KiB2023-11-18 17:32:30
sentinel-proxy-openrc-2.1.0-r0.apk2.3 KiB2023-11-18 17:32:30
logc-libevent-0.1.0-r0.apk3.2 KiB2023-11-18 17:32:30
logc-config-0.5.0-r0.apk4.7 KiB2023-11-18 17:32:30
sentinel-proxy-dev-2.1.0-r0.apk4.3 KiB2023-11-18 17:32:30
logc-0.5.0-r0.apk6.8 KiB2023-11-18 17:32:30
logc-libs-dev-0.1.0-r0.apk5.2 KiB2023-11-18 17:32:30
base64c-0.2.1-r0.apk4.2 KiB2023-11-18 17:32:30
base64c-dev-0.2.1-r0.apk5.0 KiB2023-11-18 17:32:30
ombi-openrc-4.43.5-r1.apk2.0 KiB2023-11-18 19:38:12
ombi-4.43.5-r1.apk18.2 MiB2023-11-18 19:38:12
tree-sitter-nix-0_git20230713-r0.apk21.8 KiB2023-11-19 02:32:13
tree-sitter-nix-doc-0_git20230713-r0.apk2.2 KiB2023-11-19 02:32:13
schismtracker-20231029-r0.apk344.8 KiB2023-11-19 13:18:16
schismtracker-doc-20231029-r0.apk6.2 KiB2023-11-19 13:18:16
tree-sitter-scheme-doc-0.6.0-r0.apk2.2 KiB2023-11-20 00:24:02
tree-sitter-scheme-0.6.0-r0.apk22.0 KiB2023-11-20 00:24:02
azpainter-3.0.7-r0.apk652.2 KiB2023-11-20 14:59:58
azpainter-doc-3.0.7-r0.apk42.0 KiB2023-11-20 14:59:58
php83-pecl-zmq-1.1.4-r0.apk28.8 KiB2023-11-22 14:31:06
solarus-engine-doc-1.7.0-r0.apk3.3 KiB2023-11-22 14:31:15
solarus-engine-1.7.0-r0.apk1.8 MiB2023-11-22 14:31:15
m17n-db-lang-1.8.5-r0.apk11.0 KiB2023-11-23 21:18:32
m17n-db-dev-1.8.5-r0.apk1.7 KiB2023-11-23 21:18:32
m17n-db-1.8.5-r0.apk2.5 MiB2023-11-23 21:18:32
gloox-dev-1.0.28-r0.apk895.7 KiB2023-11-24 00:24:51
gloox-1.0.28-r0.apk332.4 KiB2023-11-24 00:24:51
tabby-doc-3.1-r1.apk2.2 KiB2023-11-25 23:40:56
gamescope-3.12.0-r1.apk414.0 KiB2023-11-25 23:40:56
wf-config-0.8.0-r0.apk90.8 KiB2023-11-25 23:40:56
tabby-3.1-r1.apk25.8 KiB2023-11-25 23:40:56
wf-config-dev-0.8.0-r0.apk16.5 KiB2023-11-25 23:40:56
wcm-0.8.0-r0.apk343.5 KiB2023-11-25 23:40:56
gamja-doc-1.0.0_beta9-r0.apk2.2 KiB2023-11-26 22:35:54
gamja-1.0.0_beta9-r0.apk599.2 KiB2023-11-26 22:35:54
opkg-doc-0.6.2-r0.apk7.6 KiB2023-11-27 21:03:41
opkg-0.6.2-r0.apk9.5 KiB2023-11-27 21:03:41
opkg-libs-0.6.2-r0.apk69.3 KiB2023-11-27 21:03:41
opkg-dev-0.6.2-r0.apk99.0 KiB2023-11-27 21:03:41
ubuntu-archive-keyring-2023.11.28.1-r0.apk16.1 KiB2023-11-29 08:18:46
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk2.3 KiB2023-11-29 08:18:46
par2cmdline-turbo-doc-1.1.1-r0.apk5.4 KiB2023-12-01 00:56:35
par2cmdline-turbo-1.1.1-r0.apk181.7 KiB2023-12-01 00:56:35
toapk-1.0-r0.apk10.3 KiB2023-12-02 12:04:02
tkts-2.0-r0.apk16.9 KiB2023-12-02 12:05:53
bindfs-doc-1.17.6-r0.apk9.0 KiB2023-12-03 10:03:27
bindfs-1.17.6-r0.apk20.2 KiB2023-12-03 10:03:27
openocd-esp32-doc-0_git20230921-r4.apk3.3 KiB2023-12-06 05:58:18
openocd-esp32-dev-0_git20230921-r4.apk3.4 KiB2023-12-06 05:58:18
openocd-esp32-udev-rules-0_git20230921-r4.apk3.3 KiB2023-12-06 05:58:18
openocd-esp32-0_git20230921-r4.apk1.7 MiB2023-12-06 05:58:18
libcork-tools-0.15.0-r7.apk4.4 KiB2023-12-07 15:23:38
aufs-util-doc-20161219-r2.apk34.0 KiB2023-12-07 15:23:38
aufs-util-20161219-r2.apk158.0 KiB2023-12-07 15:23:38
libcork-dev-0.15.0-r7.apk30.0 KiB2023-12-07 15:23:38
libcork-0.15.0-r7.apk27.0 KiB2023-12-07 15:23:38
aufs-util-dev-20161219-r2.apk1.5 KiB2023-12-07 15:23:38
nauty-2.8.8-r0.apk4.2 MiB2023-12-08 02:15:45
nauty-dev-2.8.8-r0.apk2.5 MiB2023-12-08 02:15:52
nuzzle-doc-1.5-r0.apk3.3 KiB2023-12-08 13:23:15
nuzzle-1.5-r0.apk11.0 KiB2023-12-08 13:23:15
rinetd-openrc-0.73-r0.apk1.7 KiB2023-12-10 02:25:45
rinetd-doc-0.73-r0.apk16.4 KiB2023-12-10 02:25:45
rinetd-0.73-r0.apk12.7 KiB2023-12-10 02:25:45
z-doc-1.12-r0.apk3.9 KiB2023-12-10 22:36:04
z-1.12-r0.apk4.6 KiB2023-12-10 22:36:04
xfce4-panel-profiles-lang-1.0.14-r1.apk44.3 KiB2023-12-11 08:36:03
xfce4-panel-profiles-doc-1.0.14-r1.apk19.4 KiB2023-12-11 08:36:03
xfce4-panel-profiles-1.0.14-r1.apk56.5 KiB2023-12-11 08:36:03
rtl8812au-src-5.6.4.2_git20231103-r0.apk2.6 MiB2023-12-12 06:31:11
varnish-modules-0.23.0-r1.apk37.7 KiB2023-12-12 06:40:58
varnish-modules-doc-0.23.0-r1.apk21.4 KiB2023-12-12 06:40:58
htslib-1.19-r0.apk330.8 KiB2023-12-12 17:44:31
htslib-doc-1.19-r0.apk22.6 KiB2023-12-12 17:44:31
htslib-dev-1.19-r0.apk115.3 KiB2023-12-12 17:44:31
htslib-static-1.19-r0.apk418.9 KiB2023-12-12 17:44:31
htslib-tools-1.19-r0.apk1.1 MiB2023-12-12 17:44:31
sing-geoip-20231212-r0.apk3.0 MiB2023-12-13 17:19:12
sing-geosite-20231212122459-r0.apk715.3 KiB2023-12-13 17:19:12
sentinel-minipot-openrc-2.3.0-r1.apk2.6 KiB2023-12-13 18:13:24
sentinel-minipot-2.3.0-r1.apk39.6 KiB2023-12-13 18:13:24
musikcube-plugin-server-3.0.2-r1.apk327.5 KiB2023-12-13 20:20:33
musikcube-plugin-taglibreader-3.0.2-r1.apk28.8 KiB2023-12-13 20:20:33
musikcube-plugin-openmpt-3.0.2-r1.apk25.7 KiB2023-12-13 20:20:33
musikcube-3.0.2-r1.apk2.0 MiB2023-12-13 20:20:33
musikcube-plugin-all-3.0.2-r1.apk1.5 KiB2023-12-13 20:20:33
musikcube-plugin-supereqdsp-3.0.2-r1.apk22.0 KiB2023-12-13 20:20:33
musikcube-dev-3.0.2-r1.apk20.5 KiB2023-12-13 20:20:33
musikcube-plugin-stockencoders-3.0.2-r1.apk16.3 KiB2023-12-13 20:20:33
musikcube-plugin-httpdatastream-3.0.2-r1.apk67.5 KiB2023-12-13 20:20:33
musikcube-plugin-mpris-3.0.2-r1.apk18.3 KiB2023-12-13 20:20:33
tree-sitter-caddy-doc-0_git20230322-r0.apk2.3 KiB2023-12-16 02:06:21
tree-sitter-caddy-0_git20230322-r0.apk69.0 KiB2023-12-16 02:06:21
bm818-tools-0.7-r0.apk5.5 KiB2023-12-16 15:13:08
zsh-fast-syntax-highlighting-doc-1.55_git20230705-r0.apk7.0 KiB2023-12-17 12:10:53
zsh-fast-syntax-highlighting-1.55_git20230705-r0.apk74.7 KiB2023-12-17 12:10:53
lomiri-notifications-1.3.0-r0.apk83.8 KiB2023-12-17 22:08:37
lomiri-clock-app-lang-4.0.3-r0.apk399.4 KiB2023-12-17 22:08:37
qqc2-suru-style-0.20230206-r0.apk169.9 KiB2023-12-17 22:08:37
lomiri-clock-app-4.0.3-r0.apk212.1 KiB2023-12-17 22:08:37
suru-icon-theme-20.05.1_git20221222-r0.apk3.0 MiB2023-12-17 22:08:38
u1db-qt-0.1.7-r0.apk88.7 KiB2023-12-17 22:08:38
postgresql-pg_partman-doc-5.0.0-r0.apk47.4 KiB2023-12-17 22:09:19
postgresql-pg_partman-5.0.0-r0.apk970.5 KiB2023-12-17 22:09:19
postgresql-pg_partman-scripts-5.0.0-r0.apk7.7 KiB2023-12-17 22:09:19
postgresql-pg_partman-bitcode-5.0.0-r0.apk22.8 KiB2023-12-17 22:09:19
perl-test-unit-doc-0.25-r4.apk48.1 KiB2023-12-17 22:52:02
perl-class-inner-doc-0.200001-r5.apk4.1 KiB2023-12-17 22:52:02
perl-class-inner-0.200001-r5.apk3.9 KiB2023-12-17 22:52:02
perl-test-unit-0.25-r4.apk37.1 KiB2023-12-17 22:52:02
spiritvnc-0.6.0-r0.apk44.1 KiB2023-12-18 01:36:24
ledmon-doc-0.97-r1.apk13.2 KiB2023-12-18 10:40:37
ledmon-0.97-r1.apk63.9 KiB2023-12-18 10:40:37
rlottie-0.2_git20230831-r0.apk156.1 KiB2023-12-18 14:55:33
rlottie-dev-0.2_git20230831-r0.apk9.4 KiB2023-12-18 14:55:33
rlottie-doc-0.2_git20230831-r0.apk12.6 KiB2023-12-18 14:55:33
edward-doc-1.0.1-r1.apk5.1 KiB2023-12-18 15:21:04
edward-1.0.1-r1.apk1.7 MiB2023-12-18 15:21:04
symbiyosys-0.36-r0.apk37.6 KiB2023-12-18 21:24:10
postgresql-pg_graphql-1.4.2-r0.apk500.4 KiB2023-12-18 22:16:36
tsung-1.8.0-r2.apk730.1 KiB2023-12-19 07:48:12
dnscrypt-wrapper-0.4.2-r3.apk26.5 KiB2023-12-19 14:36:40
flamegraph-1.0_git20220918-r1.apk37.7 KiB2023-12-19 14:36:43
gede-2.18.2-r1.apk241.4 KiB2023-12-19 14:36:44
x11docker-doc-7.6.0-r1.apk9.4 KiB2023-12-19 14:36:46
paperkey-1.6-r2.apk15.1 KiB2023-12-19 14:36:46
x11docker-7.6.0-r1.apk113.4 KiB2023-12-19 14:36:46
paperkey-doc-1.6-r2.apk4.5 KiB2023-12-19 14:36:46
lottieconverter-doc-0.2_git20231219-r0.apk2.4 KiB2023-12-19 23:17:16
lottieconverter-0.2_git20231219-r0.apk10.4 KiB2023-12-19 23:17:16
php83-pecl-apfd-1.0.3-r0.apk4.3 KiB2023-12-20 16:48:45
php82-pecl-apfd-1.0.3-r0.apk4.3 KiB2023-12-20 16:48:45
kondo-bash-completion-0.8-r0.apk2.1 KiB2023-12-20 22:45:36
kondo-fish-completion-0.8-r0.apk2.1 KiB2023-12-20 22:45:36
kondo-0.8-r0.apk596.1 KiB2023-12-20 22:45:36
kondo-zsh-completion-0.8-r0.apk2.4 KiB2023-12-20 22:45:36
libfyaml-0.9-r0.apk228.5 KiB2023-12-21 22:36:45
libfyaml-dev-0.9-r0.apk42.3 KiB2023-12-21 22:36:45
libfyaml-doc-0.9-r0.apk7.4 KiB2023-12-21 22:36:45
granite7-lang-7.4.0-r0.apk52.2 KiB2023-12-22 22:28:38
granite7-7.4.0-r0.apk105.9 KiB2023-12-22 22:28:38
tree-sitter-just-0_git20230318-r0.apk13.4 KiB2023-12-22 22:28:38
granite7-dev-7.4.0-r0.apk39.3 KiB2023-12-22 22:28:38
lsix-1.8.2-r0.apk6.5 KiB2023-12-23 00:51:35
sqliteodbc-0.99991-r0.apk81.1 KiB2023-12-23 00:51:40
vym-doc-2.9.26-r0.apk3.4 MiB2023-12-23 00:51:45
vym-2.9.26-r0.apk2.7 MiB2023-12-23 00:51:45
pam_mount-doc-2.20-r0.apk17.1 KiB2023-12-23 02:34:01
libcryptmount-2.20-r0.apk9.4 KiB2023-12-23 02:34:01
pam_mount-dev-2.20-r0.apk3.4 KiB2023-12-23 02:34:01
pam_mount-2.20-r0.apk45.7 KiB2023-12-23 02:34:01
libhx-4.21-r0.apk32.9 KiB2023-12-23 02:34:01
libhx-dev-4.21-r0.apk14.8 KiB2023-12-23 02:34:01
dasht-2.4.0-r0.apk14.2 KiB2023-12-24 03:12:47
dasht-doc-2.4.0-r0.apk11.4 KiB2023-12-24 03:12:47
dasht-zsh-completion-2.4.0-r0.apk2.1 KiB2023-12-24 03:12:47
ouch-0.5.1-r0.apk1015.7 KiB2023-12-24 16:37:59
ouch-zsh-completion-0.5.1-r0.apk2.8 KiB2023-12-24 16:37:59
ouch-doc-0.5.1-r0.apk3.6 KiB2023-12-24 16:37:59
ouch-fish-completion-0.5.1-r0.apk2.3 KiB2023-12-24 16:37:59
ouch-bash-completion-0.5.1-r0.apk2.3 KiB2023-12-24 16:37:59
cgiirc-0.5.12-r1.apk131.8 KiB2023-12-25 08:11:19
xfce4-docklike-plugin-0.4.2-r0.apk65.8 KiB2023-12-26 22:59:24
xfce4-docklike-plugin-lang-0.4.2-r0.apk36.1 KiB2023-12-26 22:59:24
libsemanage-3.6-r0.apk83.0 KiB2023-12-28 04:20:33
libsemanage-dev-3.6-r0.apk128.7 KiB2023-12-28 04:20:34
libsemanage-doc-3.6-r0.apk22.9 KiB2023-12-28 04:20:34
android-file-transfer-libs-4.3-r0.apk114.1 KiB2023-12-28 23:11:54
android-file-transfer-4.3-r0.apk173.7 KiB2023-12-28 23:11:54
android-file-transfer-dev-4.3-r0.apk1.5 KiB2023-12-28 23:11:54
android-file-transfer-cli-4.3-r0.apk98.0 KiB2023-12-28 23:11:54
perl-io-async-resolver-dns-0.06-r0.apk7.0 KiB2023-12-30 11:58:34
perl-io-async-resolver-dns-doc-0.06-r0.apk5.0 KiB2023-12-30 11:58:34
dfl-sni-0.2.0-r0.apk28.1 KiB2023-12-30 13:27:01
dfl-login1-0.2.0-r0.apk15.2 KiB2023-12-30 13:27:01
dfl-applications-dev-0.2.0-r0.apk4.1 KiB2023-12-30 13:27:01
dfl-login1-dev-0.2.0-r0.apk3.4 KiB2023-12-30 13:27:01
dfl-applications-0.2.0-r0.apk19.3 KiB2023-12-30 13:27:01
dfl-ipc-dev-0.2.0-r0.apk3.6 KiB2023-12-30 13:27:01
wayqt-dev-0.2.0-r0.apk17.8 KiB2023-12-30 13:27:01
dfl-ipc-0.2.0-r0.apk17.9 KiB2023-12-30 13:27:01
dfl-sni-dev-0.2.0-r0.apk4.1 KiB2023-12-30 13:27:01
wayqt-0.2.0-r0.apk88.6 KiB2023-12-30 13:27:01
ffms2-dev-2.40-r0.apk7.5 KiB2023-12-30 13:46:06
ffms2-doc-2.40-r0.apk30.0 KiB2023-12-30 13:46:06
ffms2-2.40-r0.apk58.1 KiB2023-12-30 13:46:06
font-commit-mono-1.143-r0.apk251.3 KiB2023-12-31 15:43:23
fusee-nano-0.5.3-r0.apk20.3 KiB2023-12-31 18:28:38
fusee-nano-udev-0.5.3-r0.apk1.7 KiB2023-12-31 18:28:38
mint-y-icons-1.7.2-r0.apk70.5 MiB2023-12-31 19:12:56
mint-y-icons-doc-1.7.2-r0.apk10.2 KiB2023-12-31 19:12:56
imapfilter-2.8.2-r0.apk35.2 KiB2023-12-31 19:40:25
imapfilter-doc-2.8.2-r0.apk12.8 KiB2023-12-31 19:40:25
pcsc-tools-doc-1.7.1-r0.apk6.0 KiB2023-12-31 20:26:16
pcsc-tools-1.7.1-r0.apk181.7 KiB2023-12-31 20:26:16
perl-file-rename-doc-2.02-r0.apk12.1 KiB2024-01-01 12:22:50
perl-file-rename-2.02-r0.apk7.5 KiB2024-01-01 12:22:50
trigger-rally-0.6.7-r2.apk279.3 KiB2024-01-02 08:41:41
trigger-rally-data-0.6.7-r2.apk352.1 MiB2024-01-02 08:43:08
trigger-rally-doc-0.6.7-r2.apk28.4 KiB2024-01-02 08:43:08
perl-sys-syscall-doc-0.25-r9.apk3.7 KiB2024-01-04 20:58:28
perl-proc-guard-doc-0.07-r4.apk3.5 KiB2024-01-04 20:58:28
pounce-openrc-3.1-r3.apk2.8 KiB2024-01-04 20:58:28
perl-mixin-event-dispatch-doc-2.000-r0.apk12.9 KiB2024-01-04 20:58:28
powerstat-doc-0.04.01-r0.apk4.2 KiB2024-01-04 20:58:28
perl-object-event-doc-1.23-r0.apk7.1 KiB2024-01-04 20:58:28
perl-test-timer-doc-2.12-r2.apk8.4 KiB2024-01-04 20:58:28
perl-test-checkdeps-doc-0.010-r0.apk3.4 KiB2024-01-04 20:58:28
perl-xml-libxml-sax-chunkparser-doc-0.00008-r0.apk3.0 KiB2024-01-04 20:58:28
perl-test-timer-2.12-r2.apk8.9 KiB2024-01-04 20:58:28
perl-sys-syscall-0.25-r9.apk5.3 KiB2024-01-04 20:58:28
perl-xml-stream-doc-1.24-r0.apk17.6 KiB2024-01-04 20:58:28
perl-test-checkdeps-0.010-r0.apk3.5 KiB2024-01-04 20:58:28
perl-object-event-1.23-r0.apk9.5 KiB2024-01-04 20:58:28
perl-xml-stream-1.24-r0.apk44.0 KiB2024-01-04 20:58:28
perl-gearman-doc-2.004.015-r3.apk19.8 KiB2024-01-04 20:58:28
powerstat-bash-completion-0.04.01-r0.apk2.3 KiB2024-01-04 20:58:28
powerstat-0.04.01-r0.apk19.1 KiB2024-01-04 20:58:28
pounce-3.1-r3.apk26.3 KiB2024-01-04 20:58:28
perl-proc-guard-0.07-r4.apk3.7 KiB2024-01-04 20:58:28
perl-mixin-event-dispatch-2.000-r0.apk8.9 KiB2024-01-04 20:58:28
perl-gearman-2.004.015-r3.apk27.4 KiB2024-01-04 20:58:28
pounce-doc-3.1-r3.apk8.5 KiB2024-01-04 20:58:28
perl-xml-libxml-sax-chunkparser-0.00008-r0.apk2.9 KiB2024-01-04 20:58:28
rapidfuzz-3.0.0-r0.apk63.1 KiB2024-01-04 20:58:29
sfwbar-doc-1.0_beta14-r0.apk21.8 KiB2024-01-04 20:58:30
sfwbar-1.0_beta14-r0.apk182.8 KiB2024-01-04 20:58:30
w_scan2-doc-1.0.15-r0.apk4.1 KiB2024-01-04 20:58:37
w_scan2-1.0.15-r0.apk130.3 KiB2024-01-04 20:58:37
repgrep-fish-completion-0.15.0-r0.apk4.1 KiB2024-01-04 21:03:08
repgrep-zsh-completion-0.15.0-r0.apk1.6 KiB2024-01-04 21:03:08
repgrep-0.15.0-r0.apk1.1 MiB2024-01-04 21:03:08
repgrep-bash-completion-0.15.0-r0.apk1.6 KiB2024-01-04 21:03:08
dbus-broker-35-r0.apk75.6 KiB2024-01-04 21:03:08
repgrep-doc-0.15.0-r0.apk6.5 KiB2024-01-04 21:03:08
dbus-broker-doc-35-r0.apk5.9 KiB2024-01-04 21:03:08
responder-3.1.4.0-r0.apk749.0 KiB2024-01-05 21:29:38
perl-anyevent-xmpp-0.55-r0.apk89.3 KiB2024-01-06 22:15:31
perl-anyevent-xmpp-doc-0.55-r0.apk118.1 KiB2024-01-06 22:15:31
font-hanazono-20170904-r1.apk28.9 MiB2024-01-06 22:25:30
empede-0.2.3-r0.apk1.5 MiB2024-01-07 01:46:16
empede-doc-0.2.3-r0.apk2.3 KiB2024-01-07 01:46:16
empede-openrc-0.2.3-r0.apk1.9 KiB2024-01-07 01:46:16
perl-string-random-doc-0.32-r2.apk6.2 KiB2024-01-07 19:36:15
perl-string-random-0.32-r2.apk7.9 KiB2024-01-07 19:36:15
perl-net-netmask-2.0002-r2.apk13.8 KiB2024-01-07 19:36:15
perl-net-netmask-doc-2.0002-r2.apk8.5 KiB2024-01-07 19:36:15
rustic-zsh-completion-0.6.1-r1.apk9.4 KiB2024-01-07 20:31:54
rustic-0.6.1-r1.apk2.6 MiB2024-01-07 20:31:54
rustic-bash-completion-0.6.1-r1.apk6.4 KiB2024-01-07 20:31:54
rustic-fish-completion-0.6.1-r1.apk12.3 KiB2024-01-07 20:31:54
hex-0.6.0-r0.apk257.9 KiB2024-01-07 20:46:03
checkpolicy-3.6-r0.apk335.3 KiB2024-01-07 21:47:55
policycoreutils-lang-3.6-r0.apk108.3 KiB2024-01-07 21:47:55
policycoreutils-doc-3.6-r0.apk22.7 KiB2024-01-07 21:47:55
checkpolicy-doc-3.6-r0.apk4.1 KiB2024-01-07 21:47:55
policycoreutils-3.6-r0.apk49.9 KiB2024-01-07 21:47:55
policycoreutils-bash-completion-3.6-r0.apk2.5 KiB2024-01-07 21:47:55
xed-3.4.5-r0.apk1.0 MiB2024-01-07 22:11:37
xed-dev-3.4.5-r0.apk14.3 KiB2024-01-07 22:11:37
xed-doc-3.4.5-r0.apk973.6 KiB2024-01-07 22:11:38
xed-lang-3.4.5-r0.apk2.0 MiB2024-01-07 22:11:39
xed-python-3.4.5-r0.apk24.4 KiB2024-01-07 22:11:39
planner-doc-0.14.92-r0.apk2.2 KiB2024-01-07 22:13:49
planner-0.14.92-r0.apk315.1 KiB2024-01-07 22:13:49
planner-lang-0.14.92-r0.apk826.9 KiB2024-01-07 22:13:51
howard-bc-6.7.5-r0.apk59.3 KiB2024-01-07 22:32:07
howard-bc-doc-6.7.5-r0.apk38.5 KiB2024-01-07 22:32:07
powersupply-0.9.0-r0.apk12.5 KiB2024-01-07 22:34:14
swaks-20240103.0-r0.apk66.1 KiB2024-01-08 08:06:46
swaks-doc-20240103.0-r0.apk49.6 KiB2024-01-08 08:06:46
libqd-dev-2.3.24-r0.apk57.7 KiB2024-01-08 22:58:20
libqd-static-2.3.24-r0.apk229.6 KiB2024-01-08 22:58:20
libqd-doc-2.3.24-r0.apk182.4 KiB2024-01-08 22:58:20
libqd-2.3.24-r0.apk141.6 KiB2024-01-08 22:58:20
font-babelstone-han-15.1.3-r0.apk18.3 MiB2024-01-10 23:29:36
libcyaml-static-1.4.1-r2.apk19.8 KiB2024-01-12 00:27:20
libcyaml-doc-1.4.1-r2.apk8.6 KiB2024-01-12 00:27:20
libcyaml-1.4.1-r2.apk17.1 KiB2024-01-12 00:27:20
libcyaml-dev-1.4.1-r2.apk12.8 KiB2024-01-12 00:27:20
tartube-2.5.0-r0.apk1.4 MiB2024-01-12 00:27:21
tartube-pyc-2.5.0-r0.apk1.1 MiB2024-01-12 00:27:21
vice-3.8-r0.apk11.1 MiB2024-01-12 00:27:25
vice-doc-3.8-r0.apk2.2 MiB2024-01-12 00:27:26
icestorm-0_git20231212-r0.apk16.5 MiB2024-01-12 02:15:51
prjtrellis-db-0_git20230929-r0.apk3.3 KiB2024-01-12 02:16:09
prjtrellis-db-machxo2-0_git20230929-r0.apk1013.3 KiB2024-01-12 02:16:10
prjtrellis-db-machxo3d-0_git20230929-r0.apk747.7 KiB2024-01-12 02:16:10
prjtrellis-db-machxo-0_git20230929-r0.apk39.2 KiB2024-01-12 02:16:10
prjtrellis-db-machxo3-0_git20230929-r0.apk1.1 MiB2024-01-12 02:16:10
prjtrellis-db-ecp5-0_git20230929-r0.apk2.1 MiB2024-01-12 02:16:10
perl-mojo-sqlite-doc-3.009-r0.apk19.3 KiB2024-01-12 13:22:05
perl-net-jabber-2.0-r0.apk50.6 KiB2024-01-12 13:22:05
perl-uri-nested-doc-0.10-r0.apk3.9 KiB2024-01-12 13:22:05
perl-net-xmpp-doc-1.05-r0.apk43.6 KiB2024-01-12 13:22:05
perl-lwp-online-doc-1.08-r0.apk5.7 KiB2024-01-12 13:22:05
perl-sql-abstract-pg-1.0-r0.apk5.0 KiB2024-01-12 13:22:05
perl-uri-nested-0.10-r0.apk4.0 KiB2024-01-12 13:22:05
perl-protocol-redis-faster-doc-0.003-r0.apk3.3 KiB2024-01-12 13:22:05
perl-protocol-redis-doc-1.0011-r0.apk5.1 KiB2024-01-12 13:22:05
perl-protocol-redis-faster-0.003-r0.apk3.4 KiB2024-01-12 13:22:05
perl-mojo-reactor-ioasync-1.002-r0.apk4.7 KiB2024-01-12 13:22:05
perl-protocol-redis-1.0011-r0.apk5.6 KiB2024-01-12 13:22:05
perl-mojo-reactor-ioasync-doc-1.002-r0.apk4.4 KiB2024-01-12 13:22:05
perl-lwp-online-1.08-r0.apk6.1 KiB2024-01-12 13:22:05
perl-net-jabber-bot-2.1.7-r0.apk13.5 KiB2024-01-12 13:22:05
perl-net-jabber-bot-doc-2.1.7-r0.apk7.6 KiB2024-01-12 13:22:05
perl-net-jabber-doc-2.0-r0.apk48.3 KiB2024-01-12 13:22:05
perl-net-xmpp-1.05-r0.apk57.6 KiB2024-01-12 13:22:05
perl-mojo-sqlite-3.009-r0.apk15.9 KiB2024-01-12 13:22:05
perl-sql-abstract-pg-doc-1.0-r0.apk4.5 KiB2024-01-12 13:22:05
perl-mojo-redis-3.29-r0.apk25.2 KiB2024-01-12 13:22:05
perl-mojo-redis-doc-3.29-r0.apk24.6 KiB2024-01-12 13:22:05
tree-sitter-clojure-0.0.12-r0.apk22.0 KiB2024-01-12 13:22:14
xtl-0.7.7-r0.apk110.5 KiB2024-01-12 17:58:16
xtensor-0.24.7-r0.apk270.2 KiB2024-01-12 17:58:16
perl-mastodon-client-0.017-r0.apk22.0 KiB2024-01-13 11:50:40
perl-http-thin-doc-0.006-r0.apk3.4 KiB2024-01-13 11:50:40
perl-mastodon-client-doc-0.017-r0.apk33.0 KiB2024-01-13 11:50:40
perl-http-thin-0.006-r0.apk3.1 KiB2024-01-13 11:50:40
perl-types-path-tiny-doc-0.006-r0.apk4.1 KiB2024-01-13 11:50:40
perl-role-eventemitter-doc-0.003-r0.apk3.9 KiB2024-01-13 11:50:40
perl-role-eventemitter-0.003-r0.apk3.7 KiB2024-01-13 11:50:40
perl-types-path-tiny-0.006-r0.apk3.9 KiB2024-01-13 11:50:40
faultstat-doc-0.01.11-r0.apk3.0 KiB2024-01-13 12:40:29
faultstat-0.01.11-r0.apk12.1 KiB2024-01-13 12:40:29
faultstat-bash-completion-0.01.11-r0.apk2.3 KiB2024-01-13 12:40:29
dcmtk-doc-3.6.8-r0.apk265.8 KiB2024-01-13 22:52:55
dcmtk-openrc-3.6.8-r0.apk1.7 KiB2024-01-13 22:52:55
dcmtk-dev-3.6.8-r0.apk1.6 MiB2024-01-13 22:52:55
dcmtk-3.6.8-r0.apk1.2 MiB2024-01-13 22:52:55
libdcmtk-3.6.8-r0.apk6.1 MiB2024-01-13 22:52:59
perl-openapi-client-1.07-r0.apk8.6 KiB2024-01-14 12:52:30
perl-data-validate-domain-0.15-r0.apk5.8 KiB2024-01-14 12:52:30
perl-json-validator-doc-5.14-r0.apk33.2 KiB2024-01-14 12:52:30
perl-json-validator-5.14-r0.apk59.3 KiB2024-01-14 12:52:30
perl-mojolicious-plugin-openapi-doc-5.09-r0.apk33.7 KiB2024-01-14 12:52:30
perl-mojolicious-plugin-openapi-5.09-r0.apk28.6 KiB2024-01-14 12:52:30
perl-openapi-client-doc-1.07-r0.apk7.3 KiB2024-01-14 12:52:30
perl-data-validate-domain-doc-0.15-r0.apk5.5 KiB2024-01-14 12:52:30
perl-tickit-widget-choice-doc-0.07-r0.apk3.4 KiB2024-01-14 12:53:37
perl-tickit-widget-entry-plugin-completion-0.02-r0.apk4.6 KiB2024-01-14 12:53:37
perl-tickit-widget-menu-doc-0.16-r0.apk6.9 KiB2024-01-14 12:53:37
perl-tickit-widget-scrollbox-doc-0.12-r0.apk6.5 KiB2024-01-14 12:53:37
perl-tickit-widget-choice-0.07-r0.apk3.9 KiB2024-01-14 12:53:37
perl-tickit-widget-floatbox-0.11-r0.apk4.7 KiB2024-01-14 12:53:37
perl-tickit-widget-scrollbox-0.12-r0.apk8.0 KiB2024-01-14 12:53:37
perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk3.8 KiB2024-01-14 12:53:37
perl-tickit-widget-floatbox-doc-0.11-r0.apk4.1 KiB2024-01-14 12:53:37
perl-tickit-widget-menu-0.16-r0.apk7.2 KiB2024-01-14 12:53:37
perl-datetime-format-flexible-0.34-r0.apk18.2 KiB2024-01-14 15:55:34
perl-feed-find-doc-0.13-r0.apk3.7 KiB2024-01-14 15:55:35
perl-feed-find-0.13-r0.apk3.8 KiB2024-01-14 15:55:35
perl-test-lwp-useragent-doc-0.036-r0.apk8.3 KiB2024-01-14 15:55:35
perl-test-lwp-useragent-0.036-r0.apk9.8 KiB2024-01-14 15:55:35
perl-datetime-format-flexible-doc-0.34-r0.apk12.2 KiB2024-01-14 15:55:35
perl-xml-atom-0.43-r0.apk19.6 KiB2024-01-14 15:55:35
perl-xml-atom-doc-0.43-r0.apk15.9 KiB2024-01-14 15:55:35
perl-xml-feed-doc-0.63-r0.apk12.4 KiB2024-01-14 15:55:35
perl-xml-feed-0.63-r0.apk13.8 KiB2024-01-14 15:55:35
perl-uri-fetch-0.15-r0.apk7.0 KiB2024-01-14 15:55:35
perl-uri-fetch-doc-0.15-r0.apk7.5 KiB2024-01-14 15:55:35
ddgr-zsh-completion-2.2-r0.apk2.7 KiB2024-01-14 18:28:29
ddgr-doc-2.2-r0.apk11.5 KiB2024-01-14 18:28:29
ddgr-2.2-r0.apk20.1 KiB2024-01-14 18:28:29
ddgr-fish-completion-2.2-r0.apk2.3 KiB2024-01-14 18:28:29
ddgr-bash-completion-2.2-r0.apk2.2 KiB2024-01-14 18:28:29
otrs-apache2-6.0.48-r1.apk4.2 KiB2024-01-15 09:36:50
otrs-bash-completion-6.0.48-r1.apk2.4 KiB2024-01-15 09:36:50
otrs-6.0.48-r1.apk28.7 MiB2024-01-15 09:36:50
otrs-doc-6.0.48-r1.apk795.1 KiB2024-01-15 09:36:52
otrs-dev-6.0.48-r1.apk3.9 MiB2024-01-15 09:36:52
otrs-openrc-6.0.48-r1.apk1.9 KiB2024-01-15 09:36:52
otrs-setup-6.0.48-r1.apk107.3 KiB2024-01-15 09:36:52
otrs-nginx-6.0.48-r1.apk1.8 KiB2024-01-15 09:36:52
otrs-fastcgi-6.0.48-r1.apk1.8 KiB2024-01-15 09:36:52
perl-anyevent-riperedis-doc-0.48-r0.apk10.3 KiB2024-01-15 14:28:05
perl-anyevent-riperedis-0.48-r0.apk12.4 KiB2024-01-15 14:28:05
perl-net-address-ip-local-doc-0.1.2-r0.apk3.5 KiB2024-01-16 15:12:46
perl-crypt-random-seed-doc-0.03-r0.apk8.8 KiB2024-01-16 15:12:46
perl-future-queue-doc-0.52-r0.apk4.3 KiB2024-01-16 15:12:46
perl-crypt-random-seed-0.03-r0.apk11.2 KiB2024-01-16 15:12:46
perl-variable-disposition-doc-0.005-r0.apk5.6 KiB2024-01-16 15:12:46
perl-curry-2.000001-r0.apk2.9 KiB2024-01-16 15:12:46
perl-future-queue-0.52-r0.apk4.1 KiB2024-01-16 15:12:46
perl-bytes-random-secure-0.29-r0.apk14.3 KiB2024-01-16 15:12:46
perl-opentracing-doc-1.006-r0.apk32.7 KiB2024-01-16 15:12:46
perl-opentracing-1.006-r0.apk18.0 KiB2024-01-16 15:12:46
perl-json-maybeutf8-doc-2.000-r0.apk3.5 KiB2024-01-16 15:12:46
perl-bytes-random-secure-doc-0.29-r0.apk12.1 KiB2024-01-16 15:12:46
perl-json-maybeutf8-2.000-r0.apk3.1 KiB2024-01-16 15:12:46
perl-net-address-ip-local-0.1.2-r0.apk3.4 KiB2024-01-16 15:12:46
perl-uri-redis-0.02-r0.apk3.2 KiB2024-01-16 15:12:46
perl-cache-lru-doc-0.04-r0.apk3.2 KiB2024-01-16 15:12:46
perl-uri-tcp-2.0.0-r0.apk2.7 KiB2024-01-16 15:12:46
perl-cache-lru-0.04-r0.apk2.9 KiB2024-01-16 15:12:46
perl-uri-tcp-doc-2.0.0-r0.apk4.9 KiB2024-01-16 15:12:46
perl-curry-doc-2.000001-r0.apk3.4 KiB2024-01-16 15:12:46
perl-variable-disposition-0.005-r0.apk3.3 KiB2024-01-16 15:12:46
perl-uri-redis-doc-0.02-r0.apk4.5 KiB2024-01-16 15:12:46
perl-net-async-xmpp-0.003-r0.apk6.4 KiB2024-01-16 19:20:58
perl-protocol-xmpp-doc-0.006-r0.apk50.7 KiB2024-01-16 19:20:58
perl-net-async-xmpp-doc-0.003-r0.apk9.9 KiB2024-01-16 19:20:58
perl-protocol-xmpp-0.006-r0.apk16.8 KiB2024-01-16 19:20:58
openocd-git-cmd-openocd-0_git20240113-r0.apk1.5 KiB2024-01-17 08:38:08
openocd-git-0_git20240113-r0.apk1.6 MiB2024-01-17 08:38:08
openocd-git-doc-0_git20240113-r0.apk3.3 KiB2024-01-17 08:38:11
openocd-git-udev-rules-0_git20240113-r0.apk3.3 KiB2024-01-17 08:38:11
openocd-git-dev-0_git20240113-r0.apk3.4 KiB2024-01-17 08:38:11
openocd-git-dbg-0_git20240113-r0.apk4.1 MiB2024-01-17 08:38:11
perl-adapter-async-doc-0.019-r0.apk16.7 KiB2024-01-17 12:11:36
perl-list-binarysearch-doc-0.25-r0.apk11.5 KiB2024-01-17 12:11:36
perl-adapter-async-0.019-r0.apk8.1 KiB2024-01-17 12:11:36
perl-list-binarysearch-0.25-r0.apk9.9 KiB2024-01-17 12:11:36
perl-mojo-pg-doc-4.27-r0.apk19.5 KiB2024-01-17 12:12:53
perl-mojo-pg-4.27-r0.apk16.5 KiB2024-01-17 12:12:53
timewarrior-doc-1.7.1-r0.apk22.2 KiB2024-01-17 22:56:12
timewarrior-1.7.1-r0.apk242.3 KiB2024-01-17 22:56:12
cinny-web-3.2.0-r0.apk4.4 MiB2024-01-18 01:36:07
vkbasalt-doc-0.3.2.10-r0.apk3.1 KiB2024-01-18 23:39:05
vkbasalt-0.3.2.10-r0.apk344.1 KiB2024-01-18 23:39:05
perl-graphql-client-cli-0.605-r0.apk7.8 KiB2024-01-18 23:46:18
perl-lv-0.006-r0.apk4.1 KiB2024-01-18 23:46:18
perl-lv-backend-magic-0.006-r0.apk2.1 KiB2024-01-18 23:46:18
perl-lv-doc-0.006-r0.apk4.0 KiB2024-01-18 23:46:18
perl-lv-backend-sentinel-0.006-r0.apk2.0 KiB2024-01-18 23:46:18
perl-graphql-client-doc-0.605-r0.apk14.0 KiB2024-01-18 23:46:18
perl-graphql-client-0.605-r0.apk7.1 KiB2024-01-18 23:46:18
abc-0_git20240102-r0.apk4.4 MiB2024-01-19 00:05:47
perl-future-q-doc-0.120-r0.apk9.1 KiB2024-01-19 00:18:26
perl-promise-es6-anyevent-0.28-r0.apk2.5 KiB2024-01-19 00:18:26
perl-hash-ordered-0.014-r0.apk9.8 KiB2024-01-19 00:18:26
perl-future-q-0.120-r0.apk9.6 KiB2024-01-19 00:18:26
perl-promise-es6-future-0.28-r0.apk2.3 KiB2024-01-19 00:18:26
perl-promise-es6-doc-0.28-r0.apk12.1 KiB2024-01-19 00:18:26
perl-promise-es6-0.28-r0.apk10.7 KiB2024-01-19 00:18:26
perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk3.8 KiB2024-01-19 00:18:26
perl-promise-es6-mojo-ioloop-0.28-r0.apk2.6 KiB2024-01-19 00:18:26
perl-rxperl-doc-6.28.0-r0.apk22.6 KiB2024-01-19 00:18:26
perl-promise-es6-io-async-0.28-r0.apk2.9 KiB2024-01-19 00:18:26
perl-rxperl-6.28.0-r0.apk26.1 KiB2024-01-19 00:18:26
perl-hash-ordered-doc-0.014-r0.apk19.2 KiB2024-01-19 00:18:26
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk3.4 KiB2024-01-19 00:18:26
megazeux-2.93-r0.apk1.0 MiB2024-01-19 01:35:41
megazeux-doc-2.93-r0.apk443.8 KiB2024-01-19 01:35:41
cargo-run-bin-doc-1.7.2-r0.apk5.0 KiB2024-01-19 02:11:44
cargo-run-bin-1.7.2-r0.apk383.5 KiB2024-01-19 02:11:44
tty-clock-2.3_git20240104-r0.apk7.8 KiB2024-01-19 03:13:21
tty-clock-doc-2.3_git20240104-r0.apk3.1 KiB2024-01-19 03:13:21
perl-rxperl-mojo-6.8.1-r0.apk2.7 KiB2024-01-19 03:45:26
perl-rxperl-mojo-doc-6.8.1-r0.apk8.9 KiB2024-01-19 03:45:26
perl-rxperl-ioasync-6.9.1-r0.apk2.8 KiB2024-01-19 03:45:26
perl-rxperl-anyevent-6.8.1-r0.apk2.7 KiB2024-01-19 03:45:26
perl-rxperl-ioasync-doc-6.9.1-r0.apk9.0 KiB2024-01-19 03:45:26
perl-rxperl-anyevent-doc-6.8.1-r0.apk8.9 KiB2024-01-19 03:45:26
dnsperf-doc-2.14.0-r0.apk34.9 KiB2024-01-19 09:40:24
dnsperf-2.14.0-r0.apk72.3 KiB2024-01-19 09:40:24
leptosfmt-0.1.18-r0.apk758.4 KiB2024-01-19 15:15:28
leptosfmt-doc-0.1.18-r0.apk6.2 KiB2024-01-19 15:15:28
jsmn-1.1.0-r2.apk4.7 KiB2024-01-19 17:04:04
mailutils-3.17-r0.apk186.1 KiB2024-01-19 17:54:37
mailutils-libs-3.17-r0.apk445.5 KiB2024-01-19 17:54:37
mailutils-doc-3.17-r0.apk159.0 KiB2024-01-19 17:54:37
mailutils-dev-3.17-r0.apk2.8 MiB2024-01-19 17:54:37
mailutils-mh-3.17-r0.apk1.2 MiB2024-01-19 17:54:38
mailutils-servers-3.17-r0.apk69.3 KiB2024-01-19 17:54:38
wch-isp-doc-0.4.1-r1.apk2.7 KiB2024-01-19 18:40:40
wch-isp-0.4.1-r1.apk9.8 KiB2024-01-19 18:40:40
wch-isp-udev-rules-0.4.1-r1.apk1.6 KiB2024-01-19 18:40:40
c2rust-0.18.0-r1.apk1.7 MiB2024-01-19 18:50:21
fava-pyc-1.26.4-r0.apk158.0 KiB2024-01-19 22:43:11
fava-1.26.4-r0.apk1.8 MiB2024-01-19 22:43:11
qtox-1.17.6-r6.apk5.0 MiB2024-01-20 17:38:30
moe-1.14-r0.apk91.8 KiB2024-01-21 03:05:00
moe-doc-1.14-r0.apk19.0 KiB2024-01-21 03:05:00
gambit-dev-4.9.5-r0.apk6.6 MiB2024-01-21 13:55:32
gambit-doc-4.9.5-r0.apk4.3 KiB2024-01-21 13:55:32
gambit-4.9.5-r0.apk7.4 MiB2024-01-21 13:55:32
py3-zimscraperlib-3.2.0-r0.apk50.0 KiB2024-01-21 15:57:15
py3-zimscraperlib-pyc-3.2.0-r0.apk69.7 KiB2024-01-21 15:57:15
libdng-utils-0.1.1-r0.apk5.6 KiB2024-01-21 22:37:05
libdng-doc-0.1.1-r0.apk4.3 KiB2024-01-21 22:37:05
libdng-0.1.1-r0.apk9.4 KiB2024-01-21 22:37:05
libdng-dev-0.1.1-r0.apk3.2 KiB2024-01-21 22:37:05
libsemigroups-dev-2.7.3-r0.apk338.2 KiB2024-01-22 06:40:37
libsemigroups-2.7.3-r0.apk546.0 KiB2024-01-22 06:40:37
libsemigroups-static-2.7.3-r0.apk1.3 MiB2024-01-22 06:40:37
watchbind-doc-0.2.1-r0.apk6.6 KiB2024-01-22 11:30:54
watchbind-0.2.1-r0.apk1008.3 KiB2024-01-22 11:30:54
perl-datetime-format-rfc3339-1.8.0-r0.apk4.4 KiB2024-01-22 11:51:50
perl-datetime-format-atom-1.6.0-r0.apk3.2 KiB2024-01-22 11:51:50
perl-datetime-format-atom-doc-1.6.0-r0.apk3.8 KiB2024-01-22 11:51:50
perl-datetime-format-rfc3339-doc-1.8.0-r0.apk4.2 KiB2024-01-22 11:51:50
mergerfs-doc-2.38.1-r0.apk38.8 KiB2024-01-22 13:52:53
mergerfs-2.38.1-r0.apk251.8 KiB2024-01-22 13:52:53
apk-snap-3.1.1-r0.apk6.6 KiB2024-01-22 15:55:14
apk-snap-doc-3.1.1-r0.apk19.9 KiB2024-01-22 15:55:14
perl-test-memorygrowth-doc-0.04-r0.apk5.3 KiB2024-01-24 10:10:00
perl-test-memorygrowth-0.04-r0.apk5.6 KiB2024-01-24 10:10:00
typstfmt-doc-0.2.7-r0.apk2.3 KiB2024-01-24 23:05:33
typstfmt-0.2.7-r0.apk672.2 KiB2024-01-24 23:05:33
plzip-doc-1.11-r0.apk16.1 KiB2024-01-25 15:56:26
plzip-1.11-r0.apk39.9 KiB2024-01-25 15:56:26
strfry-0.9.6-r0.apk1.2 MiB2024-01-25 17:01:04
perl-regexp-grammars-1.058-r0.apk65.6 KiB2024-01-25 17:01:04
strfry-openrc-0.9.6-r0.apk2.1 KiB2024-01-25 17:01:04
perl-regexp-grammars-doc-1.058-r0.apk47.8 KiB2024-01-25 17:01:04
radio-cli-2.3.1-r0.apk1.2 MiB2024-01-25 20:24:15
snapraid-doc-12.3-r0.apk17.3 KiB2024-01-26 02:25:13
snapraid-12.3-r0.apk249.6 KiB2024-01-26 02:25:13
vile-9.8z-r0.apk1.3 MiB2024-01-26 02:26:03
vile-doc-9.8z-r0.apk15.0 KiB2024-01-26 02:26:03
tcc-dev-0.9.27_git20240117-r0.apk44.2 KiB2024-01-26 06:27:10
tcc-doc-0.9.27_git20240117-r0.apk50.1 KiB2024-01-26 06:27:10
tcc-libs-0.9.27_git20240117-r0.apk130.7 KiB2024-01-26 06:27:10
tcc-libs-static-0.9.27_git20240117-r0.apk10.6 KiB2024-01-26 06:27:10
tcc-0.9.27_git20240117-r0.apk9.8 KiB2024-01-26 06:27:10
chiaki-2.2.0-r0.apk283.6 KiB2024-01-26 14:22:00
perl-getopt-long-descriptive-doc-0.114-r0.apk11.1 KiB2024-01-27 21:42:43
perl-getopt-long-descriptive-0.114-r0.apk15.1 KiB2024-01-27 21:42:43
angband-4.2.5-r0.apk22.6 MiB2024-01-27 22:37:44
postgresql-pgmq-1.1.1-r0.apk246.9 KiB2024-01-27 23:24:54
libqtdbusmock-0.9.1-r0.apk62.3 KiB2024-01-28 12:11:35
ginac-1.8.7-r1.apk996.5 KiB2024-01-28 14:27:22
cln-dev-1.3.7-r0.apk1.1 MiB2024-01-28 14:27:22
ginac-doc-1.8.7-r1.apk98.0 KiB2024-01-28 14:27:22
ginac-dev-1.8.7-r1.apk69.9 KiB2024-01-28 14:27:22
cln-1.3.7-r0.apk415.0 KiB2024-01-28 14:27:22
cln-doc-1.3.7-r0.apk77.8 KiB2024-01-28 14:27:22
qtmir-dev-0.7.2-r0.apk6.9 KiB2024-01-28 17:59:26
qtmir-0.7.2-r0.apk469.9 KiB2024-01-28 17:59:26
nm-tray-0.5.0-r0.apk91.3 KiB2024-01-28 22:12:46
nm-tray-lang-0.5.0-r0.apk26.9 KiB2024-01-28 22:12:46
mangr0ve-0.1.2-r0.apk2.8 KiB2024-01-29 02:19:46
mangr0ve-doc-0.1.2-r0.apk14.4 KiB2024-01-29 02:19:46
buildcache-0.28.9-r0.apk633.1 KiB2024-01-30 15:17:01
postgresql-pg_later-0.0.14-r0.apk574.8 KiB2024-01-31 01:38:07
nano-hare-0_git20231021-r0.apk2.2 KiB2024-01-31 16:23:16
tpm2-tools-bash-completion-5.6-r0.apk15.0 KiB2024-02-01 03:06:56
tpm2-tools-5.6-r0.apk218.7 KiB2024-02-01 03:06:56
tpm2-tools-doc-5.6-r0.apk498.3 KiB2024-02-01 03:06:57
xmppipe-0.16.0-r1.apk14.6 KiB2024-02-01 21:08:10
hikari-2.3.3-r6.apk929.1 KiB2024-02-02 21:16:15
libucl-doc-0.9.0-r0.apk8.8 KiB2024-02-02 21:16:15
hikari-unlocker-2.3.3-r6.apk3.8 KiB2024-02-02 21:16:15
hikari-doc-2.3.3-r6.apk13.6 KiB2024-02-02 21:16:15
libucl-0.9.0-r0.apk46.1 KiB2024-02-02 21:16:15
libucl-dev-0.9.0-r0.apk71.3 KiB2024-02-02 21:16:15
php83-pecl-vld-0.18.0-r1.apk13.8 KiB2024-02-02 23:57:16
perl-ffi-platypus-type-enum-0.06-r0.apk5.2 KiB2024-02-03 09:53:19
perl-ffi-platypus-type-enum-doc-0.06-r0.apk5.1 KiB2024-02-03 09:53:19
netsurf-3.11-r0.apk1.9 MiB2024-02-03 13:34:00
netsurf-doc-3.11-r0.apk4.4 KiB2024-02-03 13:34:00
netsurf-framebuffer-3.11-r0.apk2.5 MiB2024-02-03 13:34:02
netsurf-framebuffer-doc-3.11-r0.apk3.9 KiB2024-02-03 13:34:02
cava-0.10.1-r0.apk38.0 KiB2024-02-03 16:46:50
qsynth-0.9.13-r0.apk378.3 KiB2024-02-03 20:58:07
qsynth-doc-0.9.13-r0.apk4.4 KiB2024-02-03 20:58:07
tailspin-fish-completion-3.0.0-r0.apk2.0 KiB2024-02-03 22:35:06
tailspin-bash-completion-3.0.0-r0.apk2.2 KiB2024-02-03 22:35:06
tailspin-3.0.0-r0.apk1.0 MiB2024-02-03 22:35:06
tailspin-doc-3.0.0-r0.apk2.7 KiB2024-02-03 22:35:06
tailspin-zsh-completion-3.0.0-r0.apk2.4 KiB2024-02-03 22:35:06
jaq-1.3.0-r0.apk1.1 MiB2024-02-05 06:03:15
xdg-ninja-0.2.0.2-r0.apk70.4 KiB2024-02-05 06:11:09
perl-test-requires-git-1.008-r0.apk4.8 KiB2024-02-05 16:09:46
perl-git-repository-1.325-r0.apk16.3 KiB2024-02-05 16:09:46
perl-git-version-compare-1.005-r0.apk5.4 KiB2024-02-05 16:09:46
perl-git-version-compare-doc-1.005-r0.apk4.8 KiB2024-02-05 16:09:46
perl-git-repository-doc-1.325-r0.apk31.5 KiB2024-02-05 16:09:46
perl-system-command-1.122-r0.apk11.8 KiB2024-02-05 16:09:46
perl-system-command-doc-1.122-r0.apk10.2 KiB2024-02-05 16:09:46
perl-test-requires-git-doc-1.008-r0.apk4.4 KiB2024-02-05 16:09:46
perl-test-class-tiny-doc-0.03-r0.apk5.4 KiB2024-02-05 16:12:41
perl-net-curl-promiser-mojo-0.20-r0.apk3.1 KiB2024-02-05 16:12:41
perl-net-curl-promiser-0.20-r0.apk8.8 KiB2024-02-05 16:12:41
perl-net-curl-promiser-ioasync-0.20-r0.apk3.0 KiB2024-02-05 16:12:41
perl-net-curl-promiser-anyevent-0.20-r0.apk2.7 KiB2024-02-05 16:12:41
perl-net-curl-promiser-doc-0.20-r0.apk11.6 KiB2024-02-05 16:12:41
perl-test-class-tiny-0.03-r0.apk5.9 KiB2024-02-05 16:12:41
usbmuxd-1.1.1-r6.apk31.9 KiB2024-02-05 19:31:57
usbmuxd-doc-1.1.1-r6.apk3.0 KiB2024-02-05 19:31:57
libvmaf-dev-3.0.0-r0.apk180.7 KiB2024-02-05 20:23:50
libvmaf-3.0.0-r0.apk305.4 KiB2024-02-05 20:23:50
beancount-language-server-1.3.4-r0.apk1.1 MiB2024-02-05 23:53:54
numbat-1.9.0-r0.apk974.3 KiB2024-02-06 03:37:27
numbat-doc-1.9.0-r0.apk24.7 KiB2024-02-06 03:37:27
cpufetch-1.05-r0.apk36.1 KiB2024-02-06 11:53:43
cpufetch-doc-1.05-r0.apk3.1 KiB2024-02-06 11:53:43
lomiri-action-api-1.1.3-r0.apk70.2 KiB2024-02-06 21:54:03
lomiri-libusermetrics-doc-1.3.2-r0.apk223.8 KiB2024-02-06 21:54:03
lomiri-libusermetrics-lang-1.3.2-r0.apk45.9 KiB2024-02-06 21:54:03
lomiri-settings-components-lang-1.1.1-r0.apk100.0 KiB2024-02-06 21:54:03
lomiri-libusermetrics-dev-1.3.2-r0.apk8.1 KiB2024-02-06 21:54:03
lomiri-settings-components-1.1.1-r0.apk217.7 KiB2024-02-06 21:54:03
lomiri-action-api-dev-1.1.3-r0.apk5.4 KiB2024-02-06 21:54:03
lomiri-libusermetrics-1.3.2-r0.apk141.4 KiB2024-02-06 21:54:03
lomiri-ui-extras-0.6.3-r0.apk232.7 KiB2024-02-06 21:54:04
lomiri-telephony-service-0.5.3-r0.apk889.1 KiB2024-02-06 21:54:04
morph-browser-1.1.0-r0.apk523.2 KiB2024-02-06 21:54:04
lomiri-ui-extras-lang-0.6.3-r0.apk55.3 KiB2024-02-06 21:54:04
morph-browser-lang-1.1.0-r0.apk303.4 KiB2024-02-06 21:54:04
lomiri-telephony-service-lang-0.5.3-r0.apk98.8 KiB2024-02-06 21:54:04
lomiri-terminal-app-doc-2.0.2-r0.apk2.6 KiB2024-02-07 12:43:21
lomiri-terminal-app-2.0.2-r0.apk62.9 KiB2024-02-07 12:43:21
lomiri-terminal-app-lang-2.0.2-r0.apk63.8 KiB2024-02-07 12:43:21
libstirshaken-tools-0_git20240208-r2.apk144.6 KiB2024-02-08 09:25:31
libstirshaken-0_git20240208-r2.apk49.1 KiB2024-02-08 09:25:31
libstirshaken-dev-0_git20240208-r2.apk78.0 KiB2024-02-08 09:25:31
openfortivpn-doc-1.21.0-r0.apk6.3 KiB2024-02-09 01:21:11
mcman-0.4.4-r0.apk2.5 MiB2024-02-09 01:21:11
mcman-doc-0.4.4-r0.apk13.7 KiB2024-02-09 01:21:11
openfortivpn-1.21.0-r0.apk38.6 KiB2024-02-09 01:21:11
perl-minion-backend-sqlite-5.0.7-r0.apk10.1 KiB2024-02-10 01:02:03
perl-sort-versions-1.62-r0.apk3.7 KiB2024-02-10 01:02:03
perl-minion-backend-redis-0.003-r0.apk10.5 KiB2024-02-10 01:02:03
perl-sort-versions-doc-1.62-r0.apk4.1 KiB2024-02-10 01:02:03
perl-minion-backend-redis-doc-0.003-r0.apk6.6 KiB2024-02-10 01:02:03
perl-minion-backend-sqlite-doc-5.0.7-r0.apk6.8 KiB2024-02-10 01:02:03
gsimplecal-2.5.1-r0.apk15.5 KiB2024-02-10 01:12:42
gsimplecal-doc-2.5.1-r0.apk5.8 KiB2024-02-10 01:12:42
tui-journal-0.8.0-r0.apk1.6 MiB2024-02-10 02:51:59
tui-journal-doc-0.8.0-r0.apk6.6 KiB2024-02-10 02:51:59
warpinator-1.8.3-r0.apk202.4 KiB2024-02-10 08:40:38
warpinator-nemo-1.8.3-r0.apk3.7 KiB2024-02-10 08:40:38
warpinator-lang-1.8.3-r0.apk174.4 KiB2024-02-10 08:40:38
lomiri-content-hub-dev-1.1.1-r0.apk11.4 KiB2024-02-10 13:52:34
lomiri-content-hub-lang-1.1.1-r0.apk41.6 KiB2024-02-10 13:52:34
lomiri-content-hub-doc-1.1.1-r0.apk893.7 KiB2024-02-10 13:52:34
lomiri-content-hub-1.1.1-r0.apk249.8 KiB2024-02-10 13:52:34
care-2.3.0-r0.apk82.0 KiB2024-02-10 20:01:27
care-doc-2.3.0-r0.apk7.9 KiB2024-02-10 20:01:27
rustdesk-server-openrc-1.1.10.3-r0.apk2.2 KiB2024-02-11 22:56:03
rustdesk-server-1.1.10.3-r0.apk2.1 MiB2024-02-11 22:56:03
htmldoc-doc-1.9.18-r0.apk98.1 KiB2024-02-12 10:47:58
htmldoc-1.9.18-r0.apk2.3 MiB2024-02-12 10:47:58
sauerbraten-2020.12.29-r3.apk933.8 MiB2024-02-12 16:03:28
theforceengine-1.09.540-r1.apk6.7 MiB2024-02-12 16:03:29
theforceengine-doc-1.09.540-r1.apk6.3 MiB2024-02-12 16:03:30
fulcrum-admin-1.9.8-r0.apk7.9 KiB2024-02-12 22:45:23
fulcrum-doc-1.9.8-r0.apk21.6 KiB2024-02-12 22:45:23
fulcrum-1.9.8-r0.apk791.8 KiB2024-02-12 22:45:23
armagetronad-doc-0.2.9.1.1-r0.apk91.9 KiB2024-02-12 23:10:21
armagetronad-0.2.9.1.1-r0.apk1.5 MiB2024-02-12 23:10:21
doasedit-1.0.7-r0.apk3.6 KiB2024-02-13 17:44:04
moderncli-0.8.1-r0.apk1.4 KiB2024-02-13 21:03:33
moderncli-doc-0.8.1-r0.apk5.1 KiB2024-02-13 21:03:33
moderncli-dev-0.8.1-r0.apk15.2 KiB2024-02-13 21:03:33
laze-0.1.21-r0.apk856.6 KiB2024-02-13 22:22:46
laze-fish-completion-0.1.21-r0.apk2.6 KiB2024-02-13 22:22:46
laze-bash-completion-0.1.21-r0.apk2.7 KiB2024-02-13 22:22:46
laze-doc-0.1.21-r0.apk3.4 KiB2024-02-13 22:22:46
laze-zsh-completion-0.1.21-r0.apk3.0 KiB2024-02-13 22:22:46
bgpq4-doc-1.12-r0.apk6.4 KiB2024-02-13 22:39:03
bgpq4-1.12-r0.apk31.4 KiB2024-02-13 22:39:03
clevis-extra-pins-0_git20230629-r0.apk4.6 KiB2024-02-13 23:31:52
recyclarr-cli-6.0.2-r1.apk2.5 MiB2024-02-14 16:07:46
getssl-2.48-r0.apk82.2 KiB2024-02-16 13:59:37
cvs-fast-export-tools-1.65-r0.apk8.6 KiB2024-02-16 19:32:44
cvs-fast-export-1.65-r0.apk42.9 KiB2024-02-16 19:32:44
cvs-fast-export-doc-1.65-r0.apk17.4 KiB2024-02-16 19:32:44
nuklear-doc-4.12.0-r0.apk42.4 KiB2024-02-18 01:31:47
nuklear-4.12.0-r0.apk219.8 KiB2024-02-18 01:31:47
homebank-lang-5.7.4-r0.apk906.1 KiB2024-02-18 20:23:07
homebank-5.7.4-r0.apk1.8 MiB2024-02-18 20:23:07
vixl-7.0.0-r0.apk290.0 KiB2024-02-20 15:38:57
vixl-dev-7.0.0-r0.apk114.6 KiB2024-02-20 15:38:57
genact-1.4.2-r0.apk1.3 MiB2024-02-20 21:50:44
perl-extutils-makemaker-7.70-r2.apk174.7 KiB2024-02-21 07:50:08
boinc-gui-7.24.3-r0.apk1000.9 KiB2024-02-22 01:03:15
boinc-lang-7.24.3-r0.apk876.9 KiB2024-02-22 01:03:15
boinc-libs-7.24.3-r0.apk197.6 KiB2024-02-22 01:03:15
boinc-dev-7.24.3-r0.apk578.4 KiB2024-02-22 01:03:15
boinc-7.24.3-r0.apk1.5 MiB2024-02-22 01:03:15
boinc-doc-7.24.3-r0.apk8.0 KiB2024-02-22 01:03:15
boinc-screensaver-7.24.3-r0.apk119.2 KiB2024-02-22 01:03:15
font-cousine-0_git20210228-r0.apk110.0 KiB2024-02-23 02:13:24
font-stix-otf-2.13-r0.apk2.0 MiB2024-02-23 02:13:33
font-tinos-0_git20210228-r0.apk198.7 KiB2024-02-23 02:13:34
font-stix-ttf-2.13-r0.apk430.0 KiB2024-02-23 02:13:34
innernet-bash-completion-1.6.1-r0.apk3.8 KiB2024-02-23 19:45:24
innernet-fish-completion-1.6.1-r0.apk4.5 KiB2024-02-23 19:45:24
innernet-1.6.1-r0.apk2.5 MiB2024-02-23 19:45:24
innernet-openrc-1.6.1-r0.apk2.3 KiB2024-02-23 19:45:24
innernet-zsh-completion-1.6.1-r0.apk5.4 KiB2024-02-23 19:45:24
innernet-doc-1.6.1-r0.apk9.0 KiB2024-02-23 19:45:24
nb-zsh-completion-7.12.1-r0.apk2.9 KiB2024-02-23 23:36:01
nb-doc-7.12.1-r0.apk75.9 KiB2024-02-23 23:36:01
nb-7.12.1-r0.apk149.5 KiB2024-02-23 23:36:01
nb-bash-completion-7.12.1-r0.apk3.0 KiB2024-02-23 23:36:01
nb-full-7.12.1-r0.apk1.5 KiB2024-02-23 23:36:01
nb-fish-completion-7.12.1-r0.apk2.8 KiB2024-02-23 23:36:01
nvtop-doc-3.1.0-r0.apk3.5 KiB2024-02-24 12:45:09
nvtop-3.1.0-r0.apk58.0 KiB2024-02-24 12:45:09
perl-html-selector-xpath-doc-0.28-r0.apk4.0 KiB2024-02-24 12:49:05
perl-devel-confess-doc-0.009004-r0.apk6.6 KiB2024-02-24 12:49:05
perl-datetime-timezone-alias-0.06-r0.apk2.5 KiB2024-02-24 12:49:05
perl-datetime-timezone-alias-doc-0.06-r0.apk7.6 KiB2024-02-24 12:49:05
perl-datetime-timezone-catalog-extend-0.3.1-r0.apk11.8 KiB2024-02-24 12:49:05
perl-datetime-timezone-catalog-extend-doc-0.3.1-r0.apk15.0 KiB2024-02-24 12:49:05
perl-css-object-doc-0.1.6-r0.apk32.6 KiB2024-02-24 12:49:05
perl-devel-confess-0.009004-r0.apk11.4 KiB2024-02-24 12:49:05
perl-html-selector-xpath-0.28-r0.apk6.2 KiB2024-02-24 12:49:05
perl-css-object-0.1.6-r0.apk22.9 KiB2024-02-24 12:49:05
perl-storable-improved-doc-0.1.3-r0.apk6.9 KiB2024-02-24 12:49:06
perl-storable-improved-0.1.3-r0.apk6.7 KiB2024-02-24 12:49:06
parcellite-doc-1.2.4.0-r0.apk24.9 KiB2024-02-24 13:44:31
parcellite-1.2.4.0-r0.apk221.3 KiB2024-02-24 13:44:31
parcellite-lang-1.2.4.0-r0.apk48.8 KiB2024-02-24 13:44:31
coventry-doc-0.8.1-r0.apk35.8 KiB2024-02-24 14:30:14
coventry-0.8.1-r0.apk246.2 KiB2024-02-24 14:30:14
coventry-openrc-0.8.1-r0.apk1.8 KiB2024-02-24 14:30:14
perl-text-table-any-doc-0.117-r0.apk6.6 KiB2024-02-25 17:04:28
perl-text-table-sprintf-0.008-r0.apk5.3 KiB2024-02-25 17:04:28
perl-text-table-any-0.117-r0.apk8.1 KiB2024-02-25 17:04:28
perl-text-table-sprintf-doc-0.008-r0.apk5.2 KiB2024-02-25 17:04:28
bordeaux-en_voices-0.8.1-r0.apk685.9 KiB2024-02-26 19:49:57
bordeaux-doc-0.8.1-r0.apk27.1 KiB2024-02-26 19:49:57
bananui-shell-0.2.0-r0.apk87.0 KiB2024-02-26 19:49:57
bordeaux-dev-0.8.1-r0.apk39.3 KiB2024-02-26 19:49:57
bordeaux-coventry-0.8.1-r0.apk53.2 KiB2024-02-26 19:49:57
bordeaux-openrc-0.8.1-r0.apk2.1 KiB2024-02-26 19:49:57
bordeaux-0.8.1-r0.apk139.7 KiB2024-02-26 19:49:57
bordeaux-generic-0.8.1-r0.apk45.6 KiB2024-02-26 19:49:57
skia-sharp-0_git20230912-r0.apk3.1 MiB2024-02-29 08:04:39
skia-sharp-dev-0_git20230912-r0.apk1.5 KiB2024-02-29 08:04:39
php83-pecl-excimer-1.2.1-r0.apk19.0 KiB2024-02-29 10:46:32
php82-pecl-excimer-1.2.1-r0.apk18.9 KiB2024-02-29 10:46:32
dropwatch-doc-1.5.4-r4.apk3.7 KiB2024-03-01 15:17:20
dropwatch-1.5.4-r4.apk14.3 KiB2024-03-01 15:17:20
dart-stage0-3.2.0_alpha150_p0-r0.apk210.5 MiB2024-03-02 05:12:14
boxed-cpp-dev-1.4.0-r0.apk6.4 KiB2024-03-02 15:02:11
boxed-cpp-1.4.0-r0.apk1.4 KiB2024-03-02 15:02:11
boxed-cpp-doc-1.4.0-r0.apk5.5 KiB2024-03-02 15:02:11
php83-pecl-eio-3.1.3-r0.apk26.0 KiB2024-03-02 22:38:09
fnf-0.1-r0.apk13.8 KiB2024-03-11 06:24:12
finger-0.5-r0.apk6.1 KiB2024-03-11 06:24:12
finger-doc-0.5-r0.apk3.8 KiB2024-03-11 06:24:12
dnstap-ldns-0.2.1-r0.apk10.3 KiB2024-03-11 06:24:12
fnf-doc-0.1-r0.apk4.6 KiB2024-03-11 06:24:12
hctl-0.2.6-r0.apk1.1 MiB2024-03-11 06:24:23
mpdris2-rs-doc-0.2.3-r0.apk13.6 KiB2024-03-11 06:24:32
lomiri-gallery-app-lang-3.0.2-r0.apk108.5 KiB2024-03-11 06:24:32
lomiri-gallery-app-3.0.2-r0.apk3.7 MiB2024-03-11 06:24:32
mpdris2-rs-0.2.3-r0.apk657.4 KiB2024-03-11 06:24:32
perl-test-expander-doc-2.5.0-r0.apk20.1 KiB2024-03-11 06:24:33
perl-test-expander-2.5.0-r0.apk7.1 KiB2024-03-11 06:24:33
perl-test-files-doc-0.26-r0.apk14.6 KiB2024-03-11 06:24:33
perl-test2-tools-explain-0.02-r0.apk3.8 KiB2024-03-11 06:24:33
perl-test-files-0.26-r0.apk6.7 KiB2024-03-11 06:24:33
perl-test2-tools-explain-doc-0.02-r0.apk4.4 KiB2024-03-11 06:24:33
repo-doc-2.42-r0.apk40.2 KiB2024-03-11 06:24:48
repo-2.42-r0.apk17.4 KiB2024-03-11 06:24:48
timeshift-24.01.1-r0.apk442.2 KiB2024-03-11 06:24:53
timeshift-lang-24.01.1-r0.apk744.1 KiB2024-03-11 06:24:53
timeshift-doc-24.01.1-r0.apk3.2 KiB2024-03-11 06:24:53
xwaylandvideobridge-lang-0.4.0-r1.apk11.0 KiB2024-03-11 06:24:54
xwaylandvideobridge-0.4.0-r1.apk42.9 KiB2024-03-11 06:24:54
cimg-3.3.5-r0.apk824.6 KiB2024-03-13 09:18:47
perl-net-irr-doc-0.10-r0.apk5.2 KiB2024-03-13 09:21:06
perl-net-irr-0.10-r0.apk5.5 KiB2024-03-13 09:21:06
youtube-viewer-doc-3.11.1-r0.apk40.8 KiB2024-03-13 09:22:16
youtube-viewer-gtk-3.11.1-r0.apk171.0 KiB2024-03-13 09:22:16
youtube-viewer-3.11.1-r0.apk83.5 KiB2024-03-13 09:22:16
espeakup-openrc-0.90-r2.apk1.8 KiB2024-03-13 21:50:20
dwl-0.5-r1.apk22.1 KiB2024-03-13 21:50:20
dwl-doc-0.5-r1.apk3.1 KiB2024-03-13 21:50:20
espeakup-0.90-r2.apk10.1 KiB2024-03-13 21:50:20
gnu-apl-1.8-r1.apk1018.5 KiB2024-03-13 21:50:21
gnu-apl-doc-1.8-r1.apk883.0 KiB2024-03-13 21:50:21
gnu-apl-dev-1.8-r1.apk549.7 KiB2024-03-13 21:50:21
linux-apfs-rw-src-0.3.8-r0.apk197.0 KiB2024-03-14 22:55:05
minisatip-1.3.4-r0.apk309.9 KiB2024-03-15 06:19:59
minisatip-openrc-1.3.4-r0.apk1.9 KiB2024-03-15 06:19:59
wayfire-doc-0.8.1-r0.apk2.7 KiB2024-03-15 06:20:03
wayfire-dev-0.8.1-r0.apk129.3 KiB2024-03-15 06:20:03
wayfire-0.8.1-r0.apk2.1 MiB2024-03-15 06:20:03
wf-shell-doc-0.8.1-r0.apk2.2 KiB2024-03-15 06:20:05
wf-shell-0.8.1-r0.apk6.0 MiB2024-03-15 06:20:05
wf-shell-dev-0.8.1-r0.apk1.7 KiB2024-03-15 06:20:05
rio-terminfo-0.0.36-r0.apk3.4 KiB2024-03-15 12:04:07
rio-0.0.36-r0.apk6.8 MiB2024-03-15 12:04:07
cocogitto-fish-completion-6.1.0-r0.apk3.3 KiB2024-03-15 12:15:05
cocogitto-6.1.0-r0.apk1.9 MiB2024-03-15 12:15:05
cocogitto-bash-completion-6.1.0-r0.apk3.1 KiB2024-03-15 12:15:05
cocogitto-doc-6.1.0-r0.apk34.9 KiB2024-03-15 12:15:05
cocogitto-zsh-completion-6.1.0-r0.apk3.1 KiB2024-03-15 12:15:05
lomiri-filemanager-app-lang-1.0.4-r0.apk174.7 KiB2024-03-15 17:50:55
lomiri-indicator-location-0_git20231227-r0.apk26.1 KiB2024-03-15 17:50:55
lomiri-filemanager-app-1.0.4-r0.apk308.1 KiB2024-03-15 17:50:55
lomiri-docviewer-app-lang-3.0.4-r0.apk118.6 KiB2024-03-15 17:50:55
lomiri-docviewer-app-doc-3.0.4-r0.apk2.0 KiB2024-03-15 17:50:55
lomiri-docviewer-app-3.0.4-r0.apk208.8 KiB2024-03-15 17:50:55
lomiri-calculator-app-lang-4.0.2-r0.apk36.8 KiB2024-03-15 17:50:55
lomiri-calculator-app-4.0.2-r0.apk374.7 KiB2024-03-15 17:50:55
lomiri-indicator-location-lang-0_git20231227-r0.apk33.2 KiB2024-03-15 17:50:55
lomiri-sounds-22.02-r0.apk18.0 MiB2024-03-15 17:51:03
mediascanner2-0.115-r0.apk236.6 KiB2024-03-15 17:51:04
s-postgray-0.8.2-r0.apk38.4 KiB2024-03-15 19:09:15
s-postgray-doc-0.8.2-r0.apk9.3 KiB2024-03-15 19:09:15
lomiri-system-settings-lang-1.1.0-r0.apk548.7 KiB2024-03-15 22:58:14
wayfire-plugins-extra-0.8.1-r0.apk508.0 KiB2024-03-15 22:58:14
lomiri-system-settings-1.1.0-r0.apk934.4 KiB2024-03-15 22:58:14
oil-doc-0.21.0-r0.apk7.0 KiB2024-03-15 23:09:37
oil-0.21.0-r0.apk1.4 MiB2024-03-15 23:09:37
autorandr-udev-1.15-r0.apk1.7 KiB2024-03-16 12:36:05
autorandr-bash-completion-1.15-r0.apk2.3 KiB2024-03-16 12:36:05
autorandr-1.15-r0.apk20.6 KiB2024-03-16 12:36:05
autorandr-launcher-1.15-r0.apk5.1 KiB2024-03-16 12:36:05
autorandr-doc-1.15-r0.apk3.8 KiB2024-03-16 12:36:05
ssh-tools-1.8-r0.apk25.6 KiB2024-03-16 21:30:43
fheroes2-lang-1.0.13-r0.apk1.4 MiB2024-03-16 21:34:27
fheroes2-1.0.13-r0.apk1.3 MiB2024-03-16 21:34:27
hwatch-zsh-completion-0.3.11-r0.apk1.9 KiB2024-03-17 23:53:43
hwatch-doc-0.3.11-r0.apk3.0 KiB2024-03-17 23:53:43
lynis-bash-completion-3.1.1-r0.apk3.0 KiB2024-03-17 23:53:43
lynis-3.1.1-r0.apk271.3 KiB2024-03-17 23:53:43
hwatch-0.3.11-r0.apk893.9 KiB2024-03-17 23:53:43
lynis-doc-3.1.1-r0.apk49.1 KiB2024-03-17 23:53:43
hwatch-fish-completion-0.3.11-r0.apk1.8 KiB2024-03-17 23:53:43
freshrss-sqlite-1.23.1-r1.apk1.4 KiB2024-03-18 06:42:17
freshrss-themes-1.23.1-r1.apk1.5 MiB2024-03-18 06:42:17
freshrss-1.23.1-r1.apk1.5 MiB2024-03-18 06:42:17
freshrss-doc-1.23.1-r1.apk751.2 KiB2024-03-18 06:42:17
freshrss-pgsql-1.23.1-r1.apk1.4 KiB2024-03-18 06:42:17
freshrss-mysql-1.23.1-r1.apk1.4 KiB2024-03-18 06:42:17
freshrss-openrc-1.23.1-r1.apk2.5 KiB2024-03-18 06:42:17
freshrss-lang-1.23.1-r1.apk379.2 KiB2024-03-18 06:42:17
cinny-3.2.1-r1.apk6.4 MiB2024-03-20 10:05:48
eiwd-2.16-r0.apk678.9 KiB2024-03-20 20:07:19
eiwd-doc-2.16-r0.apk19.7 KiB2024-03-20 20:07:19
eiwd-openrc-2.16-r0.apk1.9 KiB2024-03-20 20:07:19
baikal_sqlite-0.9.5-r0.apk1.6 KiB2024-03-20 21:45:52
baikal-0.9.5-r0.apk1.3 MiB2024-03-20 21:45:52
dart-3.3.2-r0.apk40.5 MiB2024-03-21 22:55:54
dart-sdk-3.3.2-r0.apk166.1 MiB2024-03-21 22:56:35
dartaotruntime-3.3.2-r0.apk1.2 MiB2024-03-21 22:56:35
copyq-doc-8.0.0-r0.apk3.5 KiB2024-03-22 07:46:15
copyq-8.0.0-r0.apk2.3 MiB2024-03-22 07:46:15
copyq-bash-completion-8.0.0-r0.apk2.3 KiB2024-03-22 07:46:15
pinentry-bemenu-0.13.1-r0.apk7.6 KiB2024-03-22 14:22:12
tree-sitter-markdown-0.2.3-r0.apk128.2 KiB2024-03-23 07:35:58
lomiri-ui-toolkit-1.3.5100-r0.apk1.2 MiB2024-03-23 07:35:58
lomiri-ui-toolkit-lang-1.3.5100-r0.apk99.5 KiB2024-03-23 07:35:58
tree-sitter-markdown-doc-0.2.3-r0.apk2.3 KiB2024-03-23 07:35:58
lomiri-ui-toolkit-dev-1.3.5100-r0.apk173.4 KiB2024-03-23 07:35:58
milkytracker-1.04.00-r2.apk920.8 KiB2024-03-23 13:16:33
milkytracker-doc-1.04.00-r2.apk50.4 KiB2024-03-23 13:16:33
uxn-1.0-r0.apk32.4 KiB2024-03-23 15:22:24
uxn-doc-1.0-r0.apk4.2 KiB2024-03-23 15:22:24
endeavour-43.0-r1.apk170.2 KiB2024-03-23 19:11:56
endeavour-dev-43.0-r1.apk46.7 KiB2024-03-23 19:11:56
endeavour-lang-43.0-r1.apk204.6 KiB2024-03-23 19:11:56
endeavour-doc-43.0-r1.apk75.3 KiB2024-03-23 19:11:56
atlantik-lang-3.5.10_git20240323-r0.apk68.6 KiB2024-03-23 19:23:25
atlantik-doc-3.5.10_git20240323-r0.apk79.3 KiB2024-03-23 19:23:25
atlantik-3.5.10_git20240323-r0.apk353.8 KiB2024-03-23 19:23:25
jackline-0.1.0-r3.apk3.9 MiB2024-03-23 20:42:21
dune-deps-1.3.0-r2.apk786.6 KiB2024-03-23 20:42:21
merlin-4.14-r0.apk14.3 MiB2024-03-23 20:42:22
merlin-emacs-4.14-r0.apk28.5 KiB2024-03-23 20:42:23
merlin-vim-4.14-r0.apk27.9 KiB2024-03-23 20:42:23
ocaml-asn1-combinators-0.2.6-r2.apk315.8 KiB2024-03-23 20:42:23
merlin-dev-4.14-r0.apk22.6 MiB2024-03-23 20:42:23
ocaml-arp-dev-3.0.0-r3.apk159.4 KiB2024-03-23 20:42:23
ocaml-angstrom-dev-0.16.0-r0.apk341.0 KiB2024-03-23 20:42:23
ocaml-asn1-combinators-dev-0.2.6-r2.apk573.8 KiB2024-03-23 20:42:23
ocaml-angstrom-0.16.0-r0.apk176.1 KiB2024-03-23 20:42:23
ocaml-arp-3.0.0-r3.apk84.0 KiB2024-03-23 20:42:23
ocaml-bigarray-compat-1.1.0-r2.apk12.3 KiB2024-03-23 20:42:24
ocaml-bigarray-compat-dev-1.1.0-r2.apk12.0 KiB2024-03-23 20:42:24
ocaml-bigstringaf-dev-0.9.0-r2.apk91.1 KiB2024-03-23 20:42:24
ocaml-base-dev-0.16.3-r0.apk9.6 MiB2024-03-23 20:42:24
ocaml-base64-dev-3.5.0-r2.apk175.2 KiB2024-03-23 20:42:24
ocaml-base64-3.5.0-r2.apk89.3 KiB2024-03-23 20:42:24
ocaml-astring-dev-0.8.5-r2.apk179.8 KiB2024-03-23 20:42:24
ocaml-base-0.16.3-r0.apk4.6 MiB2024-03-23 20:42:24
ocaml-bigstringaf-0.9.0-r2.apk46.2 KiB2024-03-23 20:42:24
ocaml-astring-0.8.5-r2.apk285.7 KiB2024-03-23 20:42:24
ocaml-ca-certs-nss-tools-3.89.1-r1.apk1.5 MiB2024-03-23 20:42:25
ocaml-cairo2-0.6.2-r2.apk163.2 KiB2024-03-23 20:42:25
ocaml-bos-0.2.1-r2.apk461.3 KiB2024-03-23 20:42:25
ocaml-calendar-doc-2.04-r4.apk11.8 KiB2024-03-23 20:42:25
ocaml-bos-dev-0.2.1-r2.apk350.9 KiB2024-03-23 20:42:25
ocaml-biniou-dev-1.2.1-r5.apk365.5 KiB2024-03-23 20:42:25
ocaml-ca-certs-nss-dev-3.89.1-r1.apk446.8 KiB2024-03-23 20:42:25
ocaml-camlzip-dev-1.11-r2.apk219.5 KiB2024-03-23 20:42:25
ocaml-bisect_ppx-dev-2.8.3-r0.apk551.3 KiB2024-03-23 20:42:25
ocaml-ca-certs-0.2.2-r2.apk28.3 KiB2024-03-23 20:42:25
ocaml-calendar-dev-2.04-r4.apk162.4 KiB2024-03-23 20:42:25
ocaml-bisect_ppx-2.8.3-r0.apk4.5 MiB2024-03-23 20:42:25
ocaml-bitstring-dev-4.1.0-r3.apk579.5 KiB2024-03-23 20:42:25
ocaml-bitstring-4.1.0-r3.apk4.0 MiB2024-03-23 20:42:25
ocaml-ca-certs-dev-0.2.2-r2.apk40.0 KiB2024-03-23 20:42:25
ocaml-camlzip-1.11-r2.apk113.4 KiB2024-03-23 20:42:25
ocaml-cairo2-dev-0.6.2-r2.apk448.7 KiB2024-03-23 20:42:25
ocaml-biniou-1.2.1-r5.apk555.3 KiB2024-03-23 20:42:25
ocaml-ca-certs-nss-3.89.1-r1.apk394.6 KiB2024-03-23 20:42:25
ocaml-calendar-2.04-r4.apk219.0 KiB2024-03-23 20:42:25
ocaml-cmdliner-doc-1.1.1-r3.apk19.7 KiB2024-03-23 20:42:26
ocaml-containers-3.7-r2.apk3.5 MiB2024-03-23 20:42:26
ocaml-charinfo_width-dev-1.1.0-r3.apk189.3 KiB2024-03-23 20:42:26
ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk103.5 KiB2024-03-23 20:42:26
ocaml-charinfo_width-1.1.0-r3.apk104.0 KiB2024-03-23 20:42:26
ocaml-cmdliner-1.1.1-r3.apk468.5 KiB2024-03-23 20:42:26
ocaml-camomile-data-1.0.2-r3.apk5.1 MiB2024-03-23 20:42:26
ocaml-camomile-dev-1.0.2-r3.apk2.5 MiB2024-03-23 20:42:26
ocaml-camomile-1.0.2-r3.apk1.3 MiB2024-03-23 20:42:26
ocaml-compiler-libs-repackaged-0.12.4-r3.apk76.7 KiB2024-03-23 20:42:26
ocaml-cmdliner-dev-1.1.1-r3.apk241.2 KiB2024-03-23 20:42:26
ocaml-cstruct-6.1.0-r3.apk4.1 MiB2024-03-23 20:42:27
ocaml-containers-dev-3.7-r2.apk6.7 MiB2024-03-23 20:42:27
ocaml-ctypes-0.20.1-r2.apk875.6 KiB2024-03-23 20:42:27
ocaml-containers-top-3.7-r2.apk22.4 KiB2024-03-23 20:42:27
ocaml-cstruct-dev-6.1.0-r3.apk709.3 KiB2024-03-23 20:42:27
ocaml-curses-1.0.10-r2.apk133.9 KiB2024-03-23 20:42:28
ocaml-dns-6.2.2-r3.apk2.2 MiB2024-03-23 20:42:28
ocaml-curses-dev-1.0.10-r2.apk312.1 KiB2024-03-23 20:42:28
ocaml-dns-dev-6.2.2-r3.apk4.2 MiB2024-03-23 20:42:28
ocaml-ctypes-dev-0.20.1-r2.apk850.5 KiB2024-03-23 20:42:28
ocaml-dns-tools-6.2.2-r3.apk10.4 MiB2024-03-23 20:42:29
ocaml-erm_xml-dev-0_git20211229-r2.apk777.6 KiB2024-03-23 20:42:29
ocaml-eqaf-dev-0.8-r2.apk119.9 KiB2024-03-23 20:42:29
ocaml-easy-format-1.3.4-r1.apk59.3 KiB2024-03-23 20:42:29
ocaml-domain-name-dev-0.4.0-r2.apk141.4 KiB2024-03-23 20:42:29
ocaml-extlib-1.7.9-r2.apk636.9 KiB2024-03-23 20:42:29
ocaml-erm_xmpp-0_git20220404-r2.apk1.3 MiB2024-03-23 20:42:29
ocaml-duration-0.2.0-r2.apk26.8 KiB2024-03-23 20:42:29
ocaml-down-0.1.0-r3.apk626.7 KiB2024-03-23 20:42:29
ocaml-domain-name-0.4.0-r2.apk74.2 KiB2024-03-23 20:42:29
ocaml-duration-dev-0.2.0-r2.apk44.6 KiB2024-03-23 20:42:29
ocaml-eqaf-0.8-r2.apk68.4 KiB2024-03-23 20:42:29
ocaml-ethernet-3.0.0-r3.apk42.5 KiB2024-03-23 20:42:29
ocaml-erm_xmpp-dev-0_git20220404-r2.apk1.7 MiB2024-03-23 20:42:29
ocaml-down-dev-0.1.0-r3.apk333.4 KiB2024-03-23 20:42:29
ocaml-erm_xml-0_git20211229-r2.apk581.5 KiB2024-03-23 20:42:29
ocaml-easy-format-dev-1.3.4-r1.apk111.5 KiB2024-03-23 20:42:29
ocaml-ethernet-dev-3.0.0-r3.apk82.7 KiB2024-03-23 20:42:29
ocaml-happy-eyeballs-lwt-0.3.0-r3.apk39.0 KiB2024-03-23 20:42:30
ocaml-fix-dev-20220121-r2.apk429.0 KiB2024-03-23 20:42:30
ocaml-gmap-dev-0.3.0-r2.apk74.7 KiB2024-03-23 20:42:30
ocaml-gettext-doc-0.4.2-r3.apk19.2 KiB2024-03-23 20:42:30
ocaml-fmt-dev-0.9.0-r2.apk126.4 KiB2024-03-23 20:42:30
ocaml-extlib-dev-1.7.9-r2.apk1.3 MiB2024-03-23 20:42:30
ocaml-gettext-0.4.2-r3.apk3.4 MiB2024-03-23 20:42:30
ocaml-fix-20220121-r2.apk167.4 KiB2024-03-23 20:42:30
ocaml-fileutils-doc-0.6.4-r2.apk15.8 KiB2024-03-23 20:42:30
ocaml-happy-eyeballs-dev-0.3.0-r2.apk148.9 KiB2024-03-23 20:42:30
ocaml-happy-eyeballs-0.3.0-r2.apk84.4 KiB2024-03-23 20:42:30
ocaml-fileutils-dev-0.6.4-r2.apk598.0 KiB2024-03-23 20:42:30
ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk59.1 KiB2024-03-23 20:42:30
ocaml-fmt-0.9.0-r2.apk190.9 KiB2024-03-23 20:42:30
ocaml-fpath-dev-0.7.3-r2.apk103.2 KiB2024-03-23 20:42:30
ocaml-fpath-0.7.3-r2.apk138.6 KiB2024-03-23 20:42:30
ocaml-fileutils-0.6.4-r2.apk313.7 KiB2024-03-23 20:42:30
ocaml-gettext-dev-0.4.2-r3.apk724.7 KiB2024-03-23 20:42:30
ocaml-gen-1.1-r1.apk323.3 KiB2024-03-23 20:42:30
ocaml-gen-dev-1.1-r1.apk612.7 KiB2024-03-23 20:42:30
ocaml-extlib-doc-1.7.9-r2.apk10.6 KiB2024-03-23 20:42:30
ocaml-gmap-0.3.0-r2.apk36.4 KiB2024-03-23 20:42:30
ocaml-integers-dev-0.7.0-r2.apk273.7 KiB2024-03-23 20:42:31
ocaml-ipaddr-5.3.1-r2.apk313.2 KiB2024-03-23 20:42:31
ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk2.4 MiB2024-03-23 20:42:31
ocaml-hex-dev-1.5.0-r2.apk53.5 KiB2024-03-23 20:42:31
ocaml-lablgtk3-3.1.2-r3.apk7.8 MiB2024-03-23 20:42:31
ocaml-hkdf-dev-1.0.4-r2.apk18.3 KiB2024-03-23 20:42:31
ocaml-ipaddr-dev-5.3.1-r2.apk562.1 KiB2024-03-23 20:42:31
ocaml-hkdf-1.0.4-r2.apk13.9 KiB2024-03-23 20:42:31
ocaml-integers-0.7.0-r2.apk128.0 KiB2024-03-23 20:42:31
ocaml-hex-1.5.0-r2.apk29.3 KiB2024-03-23 20:42:31
ocaml-labltk-dev-8.06.12-r2.apk1.5 MiB2024-03-23 20:42:33
ocaml-lablgtk3-dev-3.1.2-r3.apk13.8 MiB2024-03-23 20:42:33
ocaml-lablgtk3-extras-dev-3.0.1-r2.apk1.5 MiB2024-03-23 20:42:33
ocaml-labltk-8.06.12-r2.apk2.9 MiB2024-03-23 20:42:33
ocaml-lablgtk3-extras-3.0.1-r2.apk881.3 KiB2024-03-23 20:42:33
ocaml-lambda-term-doc-3.2.0-r4.apk8.8 KiB2024-03-23 20:42:34
ocaml-lwt-dllist-1.0.1-r3.apk22.5 KiB2024-03-23 20:42:34
ocaml-lwt-dev-5.7.0-r0.apk3.1 MiB2024-03-23 20:42:34
ocaml-libvirt-dev-0.6.1.7-r0.apk97.3 KiB2024-03-23 20:42:34
ocaml-lambdasoup-dev-0.7.3-r2.apk348.5 KiB2024-03-23 20:42:34
ocaml-lambdasoup-0.7.3-r2.apk189.8 KiB2024-03-23 20:42:34
ocaml-logs-0.7.0-r3.apk114.0 KiB2024-03-23 20:42:34
ocaml-logs-dev-0.7.0-r3.apk85.1 KiB2024-03-23 20:42:34
ocaml-lwt-5.7.0-r0.apk1.2 MiB2024-03-23 20:42:34
ocaml-lru-0.3.0-r2.apk75.7 KiB2024-03-23 20:42:34
ocaml-lwt_log-1.1.1-r5.apk132.3 KiB2024-03-23 20:42:34
ocaml-lwt-dllist-dev-1.0.1-r3.apk39.7 KiB2024-03-23 20:42:34
ocaml-lambda-term-3.2.0-r4.apk3.4 MiB2024-03-23 20:42:34
ocaml-lwd-0.3-r0.apk490.2 KiB2024-03-23 20:42:34
ocaml-lambda-term-dev-3.2.0-r4.apk3.8 MiB2024-03-23 20:42:34
ocaml-libvirt-0.6.1.7-r0.apk173.5 KiB2024-03-23 20:42:34
ocaml-libvirt-doc-0.6.1.7-r0.apk13.7 KiB2024-03-23 20:42:34
ocaml-lru-dev-0.3.0-r2.apk162.4 KiB2024-03-23 20:42:34
ocaml-lwd-dev-0.3-r0.apk1019.0 KiB2024-03-23 20:42:34
ocaml-menhir-dev-20220210-r2.apk899.4 KiB2024-03-23 20:42:35
ocaml-lwt_log-dev-1.1.1-r5.apk255.7 KiB2024-03-23 20:42:35
ocaml-metrics-dev-0.4.0-r3.apk449.9 KiB2024-03-23 20:42:35
ocaml-metrics-0.4.0-r3.apk244.6 KiB2024-03-23 20:42:35
ocaml-mew_vi-0.5.0-r3.apk185.2 KiB2024-03-23 20:42:35
ocaml-lwt_react-5.7.0-r0.apk119.7 KiB2024-03-23 20:42:35
ocaml-mew_vi-dev-0.5.0-r3.apk296.4 KiB2024-03-23 20:42:35
ocaml-menhir-doc-20220210-r2.apk3.9 KiB2024-03-23 20:42:35
ocaml-mew-dev-0.1.0-r3.apk95.9 KiB2024-03-23 20:42:35
ocaml-mew-0.1.0-r3.apk68.7 KiB2024-03-23 20:42:35
ocaml-menhir-20220210-r2.apk1.9 MiB2024-03-23 20:42:35
ocaml-lwt_ppx-5.7.0-r0.apk3.8 MiB2024-03-23 20:42:35
ocaml-markup-1.0.3-r3.apk1.0 MiB2024-03-23 20:42:35
ocaml-merlin-extend-0.6.1-r2.apk49.0 KiB2024-03-23 20:42:35
ocaml-merlin-extend-dev-0.6.1-r2.apk91.0 KiB2024-03-23 20:42:35
ocaml-markup-dev-1.0.3-r3.apk2.1 MiB2024-03-23 20:42:35
ocaml-mirage-crypto-0.10.6-r3.apk1.1 MiB2024-03-23 20:42:36
ocaml-mirage-time-3.0.0-r4.apk9.9 KiB2024-03-23 20:42:36
ocaml-notty-dev-0.2.3-r0.apk607.9 KiB2024-03-23 20:42:36
ocaml-mirage-random-3.0.0-r3.apk7.0 KiB2024-03-23 20:42:36
ocaml-mirage-clock-dev-4.2.0-r2.apk33.9 KiB2024-03-23 20:42:36
ocaml-mirage-kv-4.0.1-r3.apk20.1 KiB2024-03-23 20:42:36
ocaml-mirage-kv-dev-4.0.1-r3.apk42.9 KiB2024-03-23 20:42:36
ocaml-mirage-profile-0.9.1-r3.apk24.0 KiB2024-03-23 20:42:36
ocaml-mtime-1.4.0-r2.apk48.6 KiB2024-03-23 20:42:36
ocaml-mirage-flow-dev-3.0.0-r3.apk193.3 KiB2024-03-23 20:42:36
ocaml-mirage-crypto-dev-0.10.6-r3.apk5.2 MiB2024-03-23 20:42:36
ocaml-obuild-0.1.11-r0.apk1.1 MiB2024-03-23 20:42:36
ocaml-mirage-profile-dev-0.9.1-r3.apk30.9 KiB2024-03-23 20:42:36
ocaml-mmap-dev-1.2.0-r3.apk6.5 KiB2024-03-23 20:42:36
ocaml-mirage-clock-4.2.0-r2.apk27.7 KiB2024-03-23 20:42:36
ocaml-mikmatch-1.0.9-r2.apk145.4 KiB2024-03-23 20:42:36
ocaml-notty-0.2.3-r0.apk307.8 KiB2024-03-23 20:42:36
ocaml-mirage-time-dev-3.0.0-r4.apk8.2 KiB2024-03-23 20:42:36
ocaml-mtime-dev-1.4.0-r2.apk48.2 KiB2024-03-23 20:42:36
ocaml-mirage-random-dev-3.0.0-r3.apk5.4 KiB2024-03-23 20:42:36
ocaml-mmap-1.2.0-r3.apk7.2 KiB2024-03-23 20:42:36
ocaml-num-dev-1.4-r3.apk94.3 KiB2024-03-23 20:42:36
ocaml-num-1.4-r3.apk255.6 KiB2024-03-23 20:42:36
ocaml-mirage-net-4.0.0-r3.apk12.3 KiB2024-03-23 20:42:36
ocaml-mirage-net-dev-4.0.0-r3.apk19.5 KiB2024-03-23 20:42:36
ocaml-mirage-flow-3.0.0-r3.apk110.1 KiB2024-03-23 20:42:36
ocaml-ocplib-endian-1.2-r3.apk157.2 KiB2024-03-23 20:42:37
ocaml-ocf-dev-0.8.0-r3.apk323.9 KiB2024-03-23 20:42:37
ocaml-omake-doc-0.10.6-r0.apk8.0 KiB2024-03-23 20:42:37
ocaml-ocp-indent-dev-1.8.2-r2.apk638.3 KiB2024-03-23 20:42:37
ocaml-omod-0.0.3-r3.apk330.1 KiB2024-03-23 20:42:37
ocaml-ocp-index-dev-1.3.6-r0.apk529.3 KiB2024-03-23 20:42:37
ocaml-ocp-index-1.3.6-r0.apk461.0 KiB2024-03-23 20:42:37
ocaml-omake-0.10.6-r0.apk1.6 MiB2024-03-23 20:42:37
ocaml-ocplib-endian-dev-1.2-r3.apk260.7 KiB2024-03-23 20:42:37
ocaml-ocp-indent-1.8.2-r2.apk537.7 KiB2024-03-23 20:42:37
ocaml-ocf-0.8.0-r3.apk7.8 MiB2024-03-23 20:42:37
ocaml-otr-0.3.10-r2.apk283.6 KiB2024-03-23 20:42:38
ocaml-pbkdf-dev-1.2.0-r2.apk25.2 KiB2024-03-23 20:42:38
ocaml-parsexp-0.16.0-r0.apk398.3 KiB2024-03-23 20:42:38
ocaml-omod-bin-0.0.3-r3.apk2.7 MiB2024-03-23 20:42:38
ocaml-omod-dev-0.0.3-r3.apk214.1 KiB2024-03-23 20:42:38
ocaml-ounit-2.2.7-r3.apk536.5 KiB2024-03-23 20:42:38
ocaml-parsexp-dev-0.16.0-r0.apk937.9 KiB2024-03-23 20:42:38
ocaml-pbkdf-1.2.0-r2.apk17.4 KiB2024-03-23 20:42:38
ocaml-pcre-7.5.0-r4.apk146.8 KiB2024-03-23 20:42:38
ocaml-otr-dev-0.3.10-r2.apk527.0 KiB2024-03-23 20:42:38
ocaml-ppx_derivers-1.2.1-r2.apk9.5 KiB2024-03-23 20:42:38
ocaml-ppx_derivers-dev-1.2.1-r2.apk11.2 KiB2024-03-23 20:42:38
ocaml-pcre-dev-7.5.0-r4.apk302.3 KiB2024-03-23 20:42:38
ocaml-ounit-dev-2.2.7-r3.apk1.0 MiB2024-03-23 20:42:38
ocaml-ppx_deriving-5.3.0-r0.apk4.9 MiB2024-03-23 20:42:38
ocaml-ppx_sexp_conv-0.16.0-r0.apk579.6 KiB2024-03-23 20:42:39
ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk1.1 MiB2024-03-23 20:42:39
ocaml-ppx_deriving-dev-5.3.0-r0.apk1.3 MiB2024-03-23 20:42:39
ocaml-ppxlib-0.32.0-r0.apk12.7 MiB2024-03-23 20:42:40
ocaml-qtest-dev-2.11.2-r3.apk3.6 KiB2024-03-23 20:42:42
ocaml-psq-dev-0.2.0-r2.apk173.7 KiB2024-03-23 20:42:42
ocaml-randomconv-0.1.3-r2.apk13.5 KiB2024-03-23 20:42:42
ocaml-ppxlib-dev-0.32.0-r0.apk16.1 MiB2024-03-23 20:42:42
ocaml-react-1.2.2-r2.apk283.0 KiB2024-03-23 20:42:42
ocaml-ptmap-dev-2.0.5-r3.apk94.0 KiB2024-03-23 20:42:42
ocaml-ptime-1.0.0-r2.apk112.5 KiB2024-03-23 20:42:42
ocaml-ptime-dev-1.0.0-r2.apk75.8 KiB2024-03-23 20:42:42
ocaml-re-1.11.0-r1.apk523.6 KiB2024-03-23 20:42:42
ocaml-psq-0.2.0-r2.apk96.9 KiB2024-03-23 20:42:42
ocaml-react-dev-1.2.2-r2.apk198.0 KiB2024-03-23 20:42:42
ocaml-qtest-2.11.2-r3.apk317.3 KiB2024-03-23 20:42:42
ocaml-randomconv-dev-0.1.3-r2.apk17.7 KiB2024-03-23 20:42:42
ocaml-qcheck-dev-0.18.1-r3.apk1.3 MiB2024-03-23 20:42:42
ocaml-qcheck-0.18.1-r3.apk668.0 KiB2024-03-23 20:42:42
ocaml-re-dev-1.11.0-r1.apk974.7 KiB2024-03-23 20:42:42
ocaml-ptmap-2.0.5-r3.apk53.7 KiB2024-03-23 20:42:42
ocaml-reason-3.8.2-r1.apk15.3 MiB2024-03-23 20:42:43
ocaml-result-dev-1.5-r2.apk7.9 KiB2024-03-23 20:42:46
ocaml-rresult-0.7.0-r2.apk38.1 KiB2024-03-23 20:42:46
ocaml-rresult-dev-0.7.0-r2.apk34.7 KiB2024-03-23 20:42:46
ocaml-result-1.5-r2.apk9.0 KiB2024-03-23 20:42:46
ocaml-reason-dev-3.8.2-r1.apk28.8 MiB2024-03-23 20:42:46
ocaml-sedlex-3.2-r0.apk4.1 MiB2024-03-23 20:42:47
ocaml-sexplib0-dev-0.16.0-r0.apk334.7 KiB2024-03-23 20:42:47
ocaml-sexplib-0.16.0-r0.apk486.3 KiB2024-03-23 20:42:47
ocaml-sexplib-dev-0.16.0-r0.apk821.7 KiB2024-03-23 20:42:47
ocaml-seq-dev-0.3.1-r2.apk19.9 KiB2024-03-23 20:42:47
ocaml-stringext-dev-1.6.0-r2.apk77.8 KiB2024-03-23 20:42:47
ocaml-tcpip-7.1.2-r3.apk1.2 MiB2024-03-23 20:42:47
ocaml-sha-1.15.4-r0.apk89.4 KiB2024-03-23 20:42:47
ocaml-seq-0.3.1-r2.apk13.9 KiB2024-03-23 20:42:47
ocaml-stdlib-shims-0.3.0-r2.apk4.2 KiB2024-03-23 20:42:47
ocaml-sedlex-dev-3.2-r0.apk1.5 MiB2024-03-23 20:42:47
ocaml-sha-dev-1.15.4-r0.apk247.3 KiB2024-03-23 20:42:47
ocaml-sexplib0-0.16.0-r0.apk170.7 KiB2024-03-23 20:42:47
ocaml-stringext-1.6.0-r2.apk42.8 KiB2024-03-23 20:42:47
ocaml-tcpip-dev-7.1.2-r3.apk2.4 MiB2024-03-23 20:42:48
ocaml-uri-4.2.0-r2.apk1.4 MiB2024-03-23 20:42:48
ocaml-tls-0.15.3-r4.apk1.2 MiB2024-03-23 20:42:48
ocaml-tls-dev-0.15.3-r4.apk2.4 MiB2024-03-23 20:42:48
ocaml-topkg-dev-1.0.5-r2.apk406.7 KiB2024-03-23 20:42:48
ocaml-trie-1.0.0-r2.apk15.7 KiB2024-03-23 20:42:48
ocaml-topkg-1.0.5-r2.apk613.2 KiB2024-03-23 20:42:48
ocaml-trie-dev-1.0.0-r2.apk25.3 KiB2024-03-23 20:42:48
ocaml-tophide-1.0.4-r2.apk5.6 KiB2024-03-23 20:42:48
ocaml-uucd-14.0.0-r2.apk278.7 KiB2024-03-23 20:42:49
ocaml-uucd-dev-14.0.0-r2.apk172.4 KiB2024-03-23 20:42:49
ocaml-uri-dev-4.2.0-r2.apk4.3 MiB2024-03-23 20:42:49
ocaml-uuseg-dev-14.0.0-r2.apk46.0 KiB2024-03-23 20:42:50
ocaml-uucp-14.0.0-r2.apk5.1 MiB2024-03-23 20:42:50
ocaml-xml-light-2.5-r0.apk216.7 KiB2024-03-23 20:42:50
ocaml-uuidm-dev-0.9.8-r2.apk24.8 KiB2024-03-23 20:42:50
ocaml-uunf-dev-14.0.0-r2.apk222.5 KiB2024-03-23 20:42:50
ocaml-uuseg-14.0.0-r2.apk92.1 KiB2024-03-23 20:42:50
ocaml-uuidm-tools-0.9.8-r2.apk433.4 KiB2024-03-23 20:42:50
ocaml-xml-light-dev-2.5-r0.apk385.6 KiB2024-03-23 20:42:50
ocaml-x509-0.16.0-r2.apk835.5 KiB2024-03-23 20:42:50
ocaml-uutf-1.0.3-r2.apk610.1 KiB2024-03-23 20:42:50
ocaml-uutf-dev-1.0.3-r2.apk55.2 KiB2024-03-23 20:42:50
ocaml-uunf-14.0.0-r2.apk1.1 MiB2024-03-23 20:42:50
ocaml-x509-dev-0.16.0-r2.apk1.5 MiB2024-03-23 20:42:50
ocaml-uucp-dev-14.0.0-r2.apk1.1 MiB2024-03-23 20:42:50
ocaml-uuidm-0.9.8-r2.apk45.3 KiB2024-03-23 20:42:50
ocaml-uuseg-tools-14.0.0-r2.apk1.3 MiB2024-03-23 20:42:50
ocaml-yojson-2.1.2-r0.apk1.0 MiB2024-03-23 20:42:51
ocaml-zed-dev-3.1.0-r3.apk1.1 MiB2024-03-23 20:42:51
ocaml-xmlm-dev-1.4.0-r2.apk99.7 KiB2024-03-23 20:42:51
ocaml-xmlm-1.4.0-r2.apk547.5 KiB2024-03-23 20:42:51
ocaml-yojson-dev-2.1.2-r0.apk1.7 MiB2024-03-23 20:42:51
ocaml-zed-3.1.0-r3.apk518.4 KiB2024-03-23 20:42:51
ocamlnet-4.1.9-r2.apk16.5 MiB2024-03-23 20:42:53
ocp-index-emacs-1.3.6-r0.apk6.3 KiB2024-03-23 20:42:54
ocp-index-doc-1.3.6-r0.apk30.6 KiB2024-03-23 20:42:54
ocp-indent-doc-1.8.2-r2.apk17.0 KiB2024-03-23 20:42:54
ocamlnet-tcl-4.1.9-r2.apk49.5 KiB2024-03-23 20:42:54
ocp-index-1.3.6-r0.apk5.4 MiB2024-03-23 20:42:54
ocp-index-vim-1.3.6-r0.apk3.0 KiB2024-03-23 20:42:54
ocp-indent-emacs-1.8.2-r2.apk3.7 KiB2024-03-23 20:42:54
ocp-indent-vim-1.8.2-r2.apk2.4 KiB2024-03-23 20:42:54
ocamlnet-dev-4.1.9-r2.apk5.9 MiB2024-03-23 20:42:54
ocp-indent-1.8.2-r2.apk1.1 MiB2024-03-23 20:42:54
reason-3.8.2-r1.apk18.3 MiB2024-03-23 20:42:56
reason-rtop-3.8.2-r1.apk24.3 MiB2024-03-23 20:42:59
lazymc-openrc-0.2.11-r0.apk2.0 KiB2024-03-24 12:32:52
lazymc-0.2.11-r0.apk999.1 KiB2024-03-24 12:32:52
cargo-machete-0.6.2-r0.apk1.0 MiB2024-03-24 13:10:26
cargo-machete-doc-0.6.2-r0.apk3.9 KiB2024-03-24 13:10:26
wiringx-dev-0_git20240317-r1.apk65.8 KiB2024-03-24 14:33:46
wiringx-0_git20240317-r1.apk47.7 KiB2024-03-24 14:33:46
xosview-1.24-r0.apk104.5 KiB2024-03-25 15:44:54
xosview-doc-1.24-r0.apk12.5 KiB2024-03-25 15:44:54
lsd-1.1.1-r0.apk995.1 KiB2024-03-25 23:21:15
lsd-zsh-completion-1.1.1-r0.apk3.4 KiB2024-03-25 23:21:15
lsd-bash-completion-1.1.1-r0.apk2.5 KiB2024-03-25 23:21:15
lsd-fish-completion-1.1.1-r0.apk3.1 KiB2024-03-25 23:21:15
lomiri-lang-0.2.1-r1.apk249.4 KiB2024-03-26 00:03:23
lomiri-schemas-0.1.4-r1.apk11.1 KiB2024-03-26 00:03:23
lomiri-0.2.1-r1.apk3.8 MiB2024-03-26 00:03:23
perl-nice-try-doc-1.3.10-r0.apk11.1 KiB2024-03-26 11:44:26
perl-nice-try-1.3.10-r0.apk25.1 KiB2024-03-26 11:44:26
xendmail-0.4.3-r0.apk779.2 KiB2024-03-26 11:53:36
xendmail-doc-0.4.3-r0.apk2.5 KiB2024-03-26 11:53:36
cups-pdf-3.0.1-r2.apk20.9 KiB2024-03-26 12:25:22
runst-0.1.7-r0.apk1.5 MiB2024-03-27 01:09:55
runst-doc-0.1.7-r0.apk7.7 KiB2024-03-27 01:09:55
muon-0.2.0-r2.apk193.7 KiB2024-03-27 21:18:33
muon-doc-0.2.0-r2.apk67.5 KiB2024-03-27 21:18:33
rustypaste-cli-doc-0.9.0-r0.apk4.2 KiB2024-03-27 22:55:51
rustypaste-cli-0.9.0-r0.apk733.9 KiB2024-03-27 22:55:51
hitide-openrc-0.15.0-r0.apk2.1 KiB2024-03-29 01:13:05
hitide-0.15.0-r0.apk1.9 MiB2024-03-29 01:13:05
lotide-openrc-0.15.0-r0.apk3.1 KiB2024-03-29 01:13:05
lotide-0.15.0-r0.apk3.2 MiB2024-03-29 01:13:05
libhomfly-1.02_p6-r1.apk12.6 KiB2024-03-29 21:45:32
php82-pecl-runkit7-4.0.0_alpha6-r1.apk26.5 KiB2024-03-29 21:45:32
tachyon-scenes-0.99_beta6-r1.apk1.9 MiB2024-03-29 21:45:32
tachyon-0.99_beta6-r1.apk82.8 KiB2024-03-29 21:45:32
libhomfly-dev-1.02_p6-r1.apk15.3 KiB2024-03-29 21:45:32
tnef-1.4.18-r0.apk23.6 KiB2024-03-30 17:37:24
tnef-doc-1.4.18-r0.apk4.2 KiB2024-03-30 17:37:24
xlhtml-doc-0.5.1-r0.apk2.5 KiB2024-03-30 17:37:24
xlhtml-0.5.1-r0.apk9.8 KiB2024-03-30 17:37:24
detox-2.0.0-r0.apk108.5 KiB2024-04-01 19:35:29
detox-doc-2.0.0-r0.apk20.7 KiB2024-04-01 19:35:29
cargo-generate-0.20.0-r0.apk1.9 MiB2024-04-01 19:39:29
wolfssh-1.4.17-r0.apk119.9 KiB2024-04-02 00:08:53
wolfssh-dev-1.4.17-r0.apk162.1 KiB2024-04-02 00:08:53
emacs-hackernews-0.7.0-r0.apk15.5 KiB2024-04-02 02:42:33
emacs-svg-lib-0_git20240219-r0.apk19.0 KiB2024-04-02 02:42:33
emacs-helm-3.9.7_git20240329-r0.apk815.1 KiB2024-04-02 02:42:33
emacs-powerline-2.4_git20221110-r0.apk28.9 KiB2024-04-02 02:42:33
emacs-avy-0.5.0_git20230420-r0.apk43.4 KiB2024-04-02 02:42:33
emacs-hnreader-0_git20221116-r0.apk9.8 KiB2024-04-02 02:42:33
emacs-centaur-tabs-3.2_git20230601-r0.apk55.0 KiB2024-04-02 02:42:33
emacs-ace-window-0.10.0_git20220911-r0.apk22.8 KiB2024-04-02 02:42:33
emacs-ement-0.14_git20240320-r0.apk284.5 KiB2024-04-02 02:42:33
emacs-elfeed-3.4.1_git20240326-r0.apk91.3 KiB2024-04-02 02:42:33
emacs-taxy-magit-section-0.13-r0.apk17.3 KiB2024-04-02 02:42:33
emacs-taxy-0.10.1-r0.apk11.4 KiB2024-04-02 02:42:33
emacs-hydra-0.15.0_git20220910-r0.apk45.9 KiB2024-04-02 02:42:33
emacs-persist-0.6_git20240114-r0.apk6.6 KiB2024-04-02 02:42:33
power-profiles-daemon-0.20-r1.apk28.9 KiB2024-04-02 06:40:35
dlib-19.24.4-r0.apk705.8 KiB2024-04-02 08:24:29
php82-pdlib-1.1.0-r1.apk471.4 KiB2024-04-02 08:24:29
dlib-dev-19.24.4-r0.apk2.4 MiB2024-04-02 08:24:29
hyperlink-0.1.32-r0.apk488.7 KiB2024-04-02 23:39:45
perl-snmp-info-3.970001-r0.apk335.4 KiB2024-04-02 23:40:03
perl-snmp-info-doc-3.970001-r0.apk374.2 KiB2024-04-02 23:40:03
utop-2.9.1-r4.apk13.3 MiB2024-04-04 10:35:59
ocaml-utop-dev-2.9.1-r4.apk762.8 KiB2024-04-04 10:35:59
utop-doc-2.9.1-r4.apk5.7 KiB2024-04-04 10:35:59
ocaml-utop-2.9.1-r4.apk349.2 KiB2024-04-04 10:35:59
utop-full-2.9.1-r4.apk13.3 MiB2024-04-04 10:35:59
utop-common-2.9.1-r4.apk2.0 KiB2024-04-04 10:35:59
utop-emacs-2.9.1-r4.apk12.8 KiB2024-04-04 10:35:59
lua5.4-lanes-3.16.0-r1.apk56.0 KiB2024-04-04 11:35:21
lua5.3-lanes-3.16.0-r1.apk56.1 KiB2024-04-04 11:35:21
lua5.1-lanes-3.16.0-r1.apk55.4 KiB2024-04-04 11:35:21
lua5.2-lanes-3.16.0-r1.apk55.2 KiB2024-04-04 11:35:21
lua-lanes-3.16.0-r1.apk1.4 KiB2024-04-04 11:35:21
hexedit-doc-1.6_git20230905-r0.apk5.6 KiB2024-04-04 14:57:49
hexedit-1.6_git20230905-r0.apk16.8 KiB2024-04-04 14:57:49
perl-uri-db-doc-0.22-r0.apk8.4 KiB2024-04-05 11:07:17
android-apkeep-0.16.0-r0.apk1.8 MiB2024-04-05 11:07:17
perl-uri-db-0.22-r0.apk12.3 KiB2024-04-05 11:07:17
zydis-dev-4.1.0-r0.apk60.8 KiB2024-04-05 22:35:46
zycore-dev-1.5.0-r0.apk38.4 KiB2024-04-05 22:35:46
zydis-doc-4.1.0-r0.apk1.6 MiB2024-04-05 22:35:46
zycore-1.5.0-r0.apk18.0 KiB2024-04-05 22:35:46
zycore-doc-1.5.0-r0.apk394.1 KiB2024-04-05 22:35:46
zydis-4.1.0-r0.apk202.9 KiB2024-04-05 22:35:46
trippy-zsh-completion-0.10.0-r0.apk4.6 KiB2024-04-05 22:46:05
trippy-0.10.0-r0.apk1.7 MiB2024-04-05 22:46:05
trippy-bash-completion-0.10.0-r0.apk3.1 KiB2024-04-05 22:46:05
droidcam-2.1.3-r0.apk17.0 KiB2024-04-05 23:22:46
droidcam-gui-2.1.3-r0.apk26.0 KiB2024-04-05 23:22:46
rnote-cli-0.10.2-r0.apk3.1 MiB2024-04-06 20:33:50
rnote-lang-0.10.2-r0.apk338.1 KiB2024-04-06 20:33:50
rnote-0.10.2-r0.apk8.5 MiB2024-04-06 20:33:50
stone-soup-0.31.0-r0.apk25.5 MiB2024-04-07 22:35:37
i3status-rust-0.33.1-r0.apk3.5 MiB2024-04-08 20:04:50
i3status-rust-doc-0.33.1-r0.apk31.1 KiB2024-04-08 20:04:50
pest-language-server-0.3.9-r0.apk987.1 KiB2024-04-08 20:16:30
emacs-consult-1.4_git20240405-r0.apk137.8 KiB2024-04-09 14:45:09
emacs-embark-1.0_git20240327-r0.apk109.7 KiB2024-04-09 14:45:09
emacs-avy-embark-collect-1.0_git20240327-r0.apk3.9 KiB2024-04-09 14:45:09
emacs-embark-consult-1.0_git20240327-r0.apk10.4 KiB2024-04-09 14:45:09
cherrytree-lang-1.1.2-r0.apk812.3 KiB2024-04-09 23:13:28
cherrytree-doc-1.1.2-r0.apk2.2 KiB2024-04-09 23:13:28
cherrytree-1.1.2-r0.apk2.5 MiB2024-04-09 23:13:28
unit-php81-1.32.1-r0.apk26.7 KiB2024-04-10 16:15:24
heh-doc-0.5.0-r0.apk4.1 KiB2024-04-11 00:39:24
heh-0.5.0-r0.apk428.1 KiB2024-04-11 00:39:24
php81-pecl-igbinary-3.2.15-r0.apk27.7 KiB2024-04-11 00:39:25
php81-pecl-rdkafka-6.0.3-r2.apk31.9 KiB2024-04-11 00:39:25
php81-pecl-zstd-0.13.3-r0.apk9.9 KiB2024-04-11 00:39:25
php81-pecl-event-3.1.3-r0.apk45.8 KiB2024-04-11 00:39:25
php81-pecl-memcache-8.2-r1.apk39.0 KiB2024-04-11 00:39:25
php81-pecl-memcached-3.2.0-r3.apk42.0 KiB2024-04-11 00:39:25
php81-pecl-mailparse-3.1.6-r0.apk20.4 KiB2024-04-11 00:39:25
php81-pecl-uploadprogress-2.0.2-r1.apk6.6 KiB2024-04-11 00:39:25
php81-pecl-imagick-3.7.0-r5.apk100.0 KiB2024-04-11 00:39:25
php81-pecl-ssh2-1.4.1-r0.apk25.9 KiB2024-04-11 00:39:25
php81-pecl-lzf-1.7.0-r0.apk6.4 KiB2024-04-11 00:39:25
php81-pecl-xlswriter-1.5.5-r0.apk200.2 KiB2024-04-11 00:39:25
php81-pecl-ast-1.1.1-r0.apk19.5 KiB2024-04-11 00:39:25
php81-pecl-ds-1.5.0-r0.apk49.4 KiB2024-04-11 00:39:25
php81-pecl-protobuf-4.26.0-r0.apk118.2 KiB2024-04-11 00:39:25
php81-pecl-amqp-2.1.2-r0.apk55.0 KiB2024-04-11 00:39:25
php81-pecl-yaml-2.2.3-r1.apk16.7 KiB2024-04-11 00:39:25
php81-pecl-luasandbox-4.1.2-r0.apk27.4 KiB2024-04-11 00:39:25
php81-pecl-maxminddb-1.11.1-r0.apk7.8 KiB2024-04-11 00:39:25
php81-pecl-imagick-dev-3.7.0-r5.apk2.3 KiB2024-04-11 00:39:25
php81-pecl-psr-1.2.0-r0.apk17.3 KiB2024-04-11 00:39:25
php81-pecl-pcov-1.0.11-r0.apk8.7 KiB2024-04-11 00:39:25
php81-pecl-timezonedb-2024.1-r0.apk186.7 KiB2024-04-11 00:39:25
php81-pecl-smbclient-1.1.1-r1.apk19.2 KiB2024-04-11 00:39:25
php81-pecl-decimal-1.5.0-r1.apk16.8 KiB2024-04-11 00:39:25
php81-pecl-uploadprogress-doc-2.0.2-r1.apk9.9 KiB2024-04-11 00:39:25
php81-pecl-uuid-1.2.0-r0.apk6.1 KiB2024-04-11 00:39:25
php81-pecl-mcrypt-1.0.7-r0.apk14.4 KiB2024-04-11 00:39:25
daktilo-zsh-completion-0.6.0-r0.apk2.3 KiB2024-04-11 10:48:37
daktilo-doc-0.6.0-r0.apk8.7 KiB2024-04-11 10:48:37
daktilo-0.6.0-r0.apk1.7 MiB2024-04-11 10:48:37
daktilo-fish-completion-0.6.0-r0.apk1.9 KiB2024-04-11 10:48:37
daktilo-bash-completion-0.6.0-r0.apk2.2 KiB2024-04-11 10:48:37
goomwwm-1.0.0-r4.apk42.0 KiB2024-04-11 23:35:50
efl-1.27.0-r1.apk33.4 MiB2024-04-11 23:35:50
xsecurelock-1.9.0-r1.apk57.6 KiB2024-04-11 23:35:50
efl-gdb-1.27.0-r1.apk1.8 KiB2024-04-11 23:35:50
efl-dev-1.27.0-r1.apk1.9 MiB2024-04-11 23:35:50
xsecurelock-doc-1.9.0-r1.apk17.8 KiB2024-04-11 23:35:50
ovn-24.03.1-r0.apk5.9 MiB2024-04-12 06:15:49
ovn-dev-24.03.1-r0.apk9.8 MiB2024-04-12 06:15:50
ovn-dbg-24.03.1-r0.apk26.2 MiB2024-04-12 06:15:50
ovn-doc-24.03.1-r0.apk511.9 KiB2024-04-12 06:15:51
ovn-openrc-24.03.1-r0.apk4.2 KiB2024-04-12 06:15:51
ampy-1.1.0-r5.apk15.8 KiB2024-04-13 17:04:39
amdgpu-fan-0.1.0-r4.apk7.0 KiB2024-04-13 17:04:39
firewalld-doc-2.1.2-r0.apk80.4 KiB2024-04-13 17:04:39
ampy-doc-1.1.0-r5.apk3.4 KiB2024-04-13 17:04:39
firewalld-openrc-2.1.2-r0.apk1.8 KiB2024-04-13 17:04:39
autotrash-pyc-0.4.6-r1.apk14.1 KiB2024-04-13 17:04:39
firewalld-gui-2.1.2-r0.apk109.9 KiB2024-04-13 17:04:39
amiitool-2-r2.apk6.3 KiB2024-04-13 17:04:39
belcard-5.3.38-r0.apk12.1 KiB2024-04-13 17:04:39
amdgpu-fan-pyc-0.1.0-r4.apk9.7 KiB2024-04-13 17:04:39
dislocker-0.7.3-r5.apk12.2 KiB2024-04-13 17:04:39
firewalld-bash-completion-2.1.2-r0.apk4.2 KiB2024-04-13 17:04:39
ampy-pyc-1.1.0-r5.apk19.6 KiB2024-04-13 17:04:39
ansible-bender-doc-0.10.1-r2.apk10.1 KiB2024-04-13 17:04:39
dislocker-doc-0.7.3-r5.apk6.1 KiB2024-04-13 17:04:39
firewalld-zsh-completion-2.1.2-r0.apk6.2 KiB2024-04-13 17:04:39
belle-sip-dev-5.3.38-r0.apk54.9 KiB2024-04-13 17:04:39
belcard-libs-5.3.38-r0.apk191.4 KiB2024-04-13 17:04:39
ansible-bender-pyc-0.10.1-r2.apk65.0 KiB2024-04-13 17:04:39
firewalld-lang-2.1.2-r0.apk540.9 KiB2024-04-13 17:04:39
belr-5.3.38-r0.apk98.6 KiB2024-04-13 17:04:39
firewalld-2.1.2-r0.apk1.0 MiB2024-04-13 17:04:39
belcard-dev-5.3.38-r0.apk12.2 KiB2024-04-13 17:04:39
belr-dev-5.3.38-r0.apk14.9 KiB2024-04-13 17:04:39
autotrash-0.4.6-r1.apk23.2 KiB2024-04-13 17:04:39
dislocker-libs-0.7.3-r5.apk40.0 KiB2024-04-13 17:04:39
belle-sip-5.3.38-r0.apk572.2 KiB2024-04-13 17:04:39
ansible-bender-0.10.1-r2.apk36.3 KiB2024-04-13 17:04:39
linphone-dev-5.3.38-r0.apk249.7 KiB2024-04-13 17:04:44
lowjs-1.6.2-r2.apk1.3 MiB2024-04-13 17:04:44
mediastreamer2-plugin-openh264-5.2.0_git20231020-r0.apk10.5 KiB2024-04-13 17:04:44
mediastreamer2-plugin-x264-20200722-r6.apk7.3 KiB2024-04-13 17:04:44
linphone-5.3.38-r0.apk9.0 MiB2024-04-13 17:04:44
linphone-libs-5.3.38-r0.apk2.4 MiB2024-04-13 17:04:44
lowjs-doc-1.6.2-r2.apk3.0 KiB2024-04-13 17:04:44
perl-future-http-doc-0.17-r0.apk15.7 KiB2024-04-13 17:04:44
perl-future-http-0.17-r0.apk9.2 KiB2024-04-13 17:04:44
py3-nptyping-pyc-2.5.0-r2.apk32.1 KiB2024-04-13 17:04:45
py3-stringcase-pyc-1.2.0-r8.apk3.9 KiB2024-04-13 17:04:45
py3-levenshtein-0.25.1-r2.apk165.0 KiB2024-04-13 17:04:45
py3-nptyping-2.5.0-r2.apk20.5 KiB2024-04-13 17:04:45
py3-stringcase-1.2.0-r8.apk4.8 KiB2024-04-13 17:04:45
py3-levenshtein-pyc-0.25.1-r2.apk9.4 KiB2024-04-13 17:04:45
py3-spin-0.8-r0.apk18.5 KiB2024-04-13 17:04:45
py3-spin-pyc-0.8-r0.apk24.5 KiB2024-04-13 17:04:45
py3-fuzzywuzzy-pyc-0.18.0-r6.apk14.7 KiB2024-04-13 17:04:45
py3-fuzzywuzzy-0.18.0-r6.apk11.3 KiB2024-04-13 17:04:45
steghide-0.5.1.1-r0.apk122.9 KiB2024-04-14 08:20:22
steghide-doc-0.5.1.1-r0.apk13.7 KiB2024-04-14 08:20:22
py3-chameleon-4.5.4-r0.apk97.5 KiB2024-04-14 12:23:02
py3-chameleon-pyc-4.5.4-r0.apk131.0 KiB2024-04-14 12:23:02
py3-pydes-doc-2.0.1-r4.apk3.7 KiB2024-04-14 23:31:41
py3-slixmpp-1.8.5-r2.apk380.7 KiB2024-04-14 23:31:41
py3-eventlet-0.36.1-r0.apk334.2 KiB2024-04-14 23:31:41
py3-eventlet-pyc-0.36.1-r0.apk335.9 KiB2024-04-14 23:31:41
py3-keepalive-0.5-r5.apk9.0 KiB2024-04-14 23:31:41
py3-slixmpp-doc-1.8.5-r2.apk5.8 KiB2024-04-14 23:31:41
py3-keepalive-pyc-0.5-r5.apk13.0 KiB2024-04-14 23:31:41
py3-pickle-secure-pyc-0.99.9-r1.apk5.4 KiB2024-04-14 23:31:41
py3-pydes-pyc-2.0.1-r4.apk13.2 KiB2024-04-14 23:31:41
py3-keepalive-doc-0.5-r5.apk2.0 KiB2024-04-14 23:31:41
py3-slixmpp-pyc-1.8.5-r2.apk729.5 KiB2024-04-14 23:31:41
py3-pydes-2.0.1-r4.apk9.7 KiB2024-04-14 23:31:41
py3-pickle-secure-0.99.9-r1.apk7.5 KiB2024-04-14 23:31:41
py3-python-jose-doc-3.3.0-r2.apk3.1 KiB2024-04-15 15:00:58
py3-python-jose-pyc-3.3.0-r2.apk49.3 KiB2024-04-15 15:00:58
py3-python-jose-3.3.0-r2.apk28.5 KiB2024-04-15 15:00:58
libsigrokdecode-0.5.3-r4.apk331.6 KiB2024-04-15 15:00:58
libsigrokdecode-dev-0.5.3-r4.apk35.0 KiB2024-04-15 15:00:58
apprise-1.7.6-r0.apk970.1 KiB2024-04-15 23:59:53
apprise-pyc-1.7.6-r0.apk704.8 KiB2024-04-15 23:59:53
agate-openrc-3.3.7-r0.apk2.0 KiB2024-04-15 23:59:53
apprise-doc-1.7.6-r0.apk5.1 KiB2024-04-15 23:59:53
agate-3.3.7-r0.apk658.8 KiB2024-04-15 23:59:53
exabgp-openrc-4.2.21-r4.apk2.3 KiB2024-04-15 23:59:54
guestfs-tools-1.52.0-r1.apk275.2 KiB2024-04-15 23:59:54
gaupol-pyc-1.12-r2.apk419.2 KiB2024-04-15 23:59:54
ginger-2.4.0-r7.apk257.1 KiB2024-04-15 23:59:54
git2json-pyc-0.2.3-r8.apk5.7 KiB2024-04-15 23:59:54
gammastep-doc-2.0.9-r3.apk14.1 KiB2024-04-15 23:59:54
click-0.5.2-r1.apk152.0 KiB2024-04-15 23:59:54
crossplane-0.5.8-r2.apk25.4 KiB2024-04-15 23:59:54
gdm-settings-lang-4.3-r1.apk155.1 KiB2024-04-15 23:59:54
certbot-dns-njalla-1.0.2-r2.apk9.5 KiB2024-04-15 23:59:54
ginger-pyc-2.4.0-r7.apk206.8 KiB2024-04-15 23:59:54
createrepo_c-1.0.2-r1.apk43.8 KiB2024-04-15 23:59:54
exabgp-4.2.21-r4.apk435.9 KiB2024-04-15 23:59:54
dooit-2.2.0-r1.apk42.0 KiB2024-04-15 23:59:54
bootinfo-0.1.0-r3.apk6.9 KiB2024-04-15 23:59:54
barman-3.10.0-r1.apk321.4 KiB2024-04-15 23:59:54
click-dev-0.5.2-r1.apk9.3 KiB2024-04-15 23:59:54
gufw-24.04-r1.apk576.4 KiB2024-04-15 23:59:54
createrepo_c-doc-1.0.2-r1.apk8.6 KiB2024-04-15 23:59:54
cpplint-pyc-1.6.1_git20240320-r1.apk94.5 KiB2024-04-15 23:59:54
git-revise-0.7.0-r4.apk23.1 KiB2024-04-15 23:59:54
hamster-time-tracker-pyc-3.0.3-r2.apk358.1 KiB2024-04-15 23:59:54
gufw-doc-24.04-r1.apk4.6 KiB2024-04-15 23:59:54
cpplint-1.6.1_git20240320-r1.apk76.4 KiB2024-04-15 23:59:54
barman-doc-3.10.0-r1.apk48.8 KiB2024-04-15 23:59:54
barman-pyc-3.10.0-r1.apk515.4 KiB2024-04-15 23:59:54
epr-2.4.15-r1.apk15.7 KiB2024-04-15 23:59:54
git-cola-4.4.1-r1.apk826.2 KiB2024-04-15 23:59:54
guake-3.10-r1.apk305.3 KiB2024-04-15 23:59:54
esptool-4.7.0-r1.apk296.6 KiB2024-04-15 23:59:54
click-pyc-0.5.2-r1.apk178.1 KiB2024-04-15 23:59:54
fabric-3.2.2-r1.apk54.6 KiB2024-04-15 23:59:54
git-cola-doc-4.4.1-r1.apk5.9 KiB2024-04-15 23:59:54
bootinfo-pyc-0.1.0-r3.apk8.3 KiB2024-04-15 23:59:54
createrepo_c-libs-1.0.2-r1.apk82.2 KiB2024-04-15 23:59:54
dmarc-metrics-exporter-pyc-1.0.0-r2.apk46.7 KiB2024-04-15 23:59:54
dcnnt-pyc-0.10.0-r1.apk61.7 KiB2024-04-15 23:59:54
bdfr-2.6.2-r1.apk130.9 KiB2024-04-15 23:59:54
guake-lang-3.10-r1.apk187.8 KiB2024-04-15 23:59:54
frescobaldi-doc-3.3.0-r1.apk2.5 KiB2024-04-15 23:59:54
dcnnt-doc-0.10.0-r1.apk6.6 KiB2024-04-15 23:59:54
createrepo_c-bash-completion-1.0.2-r1.apk2.9 KiB2024-04-15 23:59:54
exabgp-doc-4.2.21-r4.apk8.1 KiB2024-04-15 23:59:54
gingerbase-pyc-2.3.0-r7.apk61.5 KiB2024-04-15 23:59:54
gammastep-lang-2.0.9-r3.apk77.5 KiB2024-04-15 23:59:54
gaupol-lang-1.12-r2.apk276.7 KiB2024-04-15 23:59:54
exabgp-pyc-4.2.21-r4.apk897.5 KiB2024-04-15 23:59:54
git-revise-doc-0.7.0-r4.apk5.0 KiB2024-04-15 23:59:54
gammastep-2.0.9-r3.apk87.2 KiB2024-04-15 23:59:54
curtail-1.9.1-r0.apk25.4 KiB2024-04-15 23:59:54
hamster-time-tracker-bash-completion-3.0.3-r2.apk2.0 KiB2024-04-15 23:59:54
getting-things-gnome-0.6-r3.apk722.9 KiB2024-04-15 23:59:54
curtail-lang-1.9.1-r0.apk62.0 KiB2024-04-15 23:59:54
gingerbase-lang-2.3.0-r7.apk52.9 KiB2024-04-15 23:59:54
gufw-pyc-24.04-r1.apk97.1 KiB2024-04-15 23:59:54
downloader-cli-0.3.4-r1.apk2.0 KiB2024-04-15 23:59:54
hamster-time-tracker-doc-3.0.3-r2.apk116.2 KiB2024-04-15 23:59:54
bump2version-1.0.1-r6.apk20.8 KiB2024-04-15 23:59:54
crossplane-pyc-0.5.8-r2.apk39.4 KiB2024-04-15 23:59:54
catfish-doc-4.18.0-r2.apk12.8 KiB2024-04-15 23:59:54
catfish-pyc-4.18.0-r2.apk100.8 KiB2024-04-15 23:59:54
hamster-time-tracker-3.0.3-r2.apk155.8 KiB2024-04-15 23:59:54
gaupol-doc-1.12-r2.apk2.4 KiB2024-04-15 23:59:54
getting-things-gnome-doc-0.6-r3.apk498.5 KiB2024-04-15 23:59:54
cdist-7.0.0-r5.apk460.7 KiB2024-04-15 23:59:54
catfish-lang-4.18.0-r2.apk249.9 KiB2024-04-15 23:59:54
dooit-pyc-2.2.0-r1.apk95.8 KiB2024-04-15 23:59:54
ginger-lang-2.4.0-r7.apk125.4 KiB2024-04-15 23:59:54
gammastep-pyc-2.0.9-r3.apk16.9 KiB2024-04-15 23:59:54
cdist-pyc-7.0.0-r5.apk127.6 KiB2024-04-15 23:59:54
git2json-0.2.3-r8.apk7.4 KiB2024-04-15 23:59:54
esptool-pyc-4.7.0-r1.apk446.3 KiB2024-04-15 23:59:54
barman-bash-completion-3.10.0-r1.apk1.6 KiB2024-04-15 23:59:54
dcnnt-0.10.0-r1.apk27.8 KiB2024-04-15 23:59:54
guake-pyc-3.10-r1.apk185.9 KiB2024-04-15 23:59:54
git-revise-pyc-0.7.0-r4.apk42.2 KiB2024-04-15 23:59:54
dmarc-metrics-exporter-openrc-1.0.0-r2.apk2.0 KiB2024-04-15 23:59:54
bump2version-pyc-1.0.1-r6.apk29.1 KiB2024-04-15 23:59:54
diceware-pyc-0.10-r1.apk17.1 KiB2024-04-15 23:59:54
gdm-settings-4.3-r1.apk151.8 KiB2024-04-15 23:59:54
createrepo_c-dev-1.0.2-r1.apk31.3 KiB2024-04-15 23:59:54
gaupol-1.12-r2.apk276.2 KiB2024-04-15 23:59:54
click-doc-0.5.2-r1.apk3.4 KiB2024-04-15 23:59:54
catfish-4.18.0-r2.apk218.7 KiB2024-04-15 23:59:54
git-cola-pyc-4.4.1-r1.apk738.7 KiB2024-04-15 23:59:54
frescobaldi-3.3.0-r1.apk3.5 MiB2024-04-15 23:59:54
dmarc-metrics-exporter-1.0.0-r2.apk26.0 KiB2024-04-15 23:59:54
hamster-time-tracker-lang-3.0.3-r2.apk205.7 KiB2024-04-15 23:59:54
gingerbase-2.3.0-r7.apk195.2 KiB2024-04-15 23:59:54
fabric-pyc-3.2.2-r1.apk60.1 KiB2024-04-15 23:59:54
diceware-0.10-r1.apk217.6 KiB2024-04-15 23:59:54
gufw-lang-24.04-r1.apk856.8 KiB2024-04-15 23:59:54
epr-pyc-2.4.15-r1.apk24.4 KiB2024-04-15 23:59:54
frescobaldi-pyc-3.3.0-r1.apk1.2 MiB2024-04-15 23:59:54
getting-things-gnome-lang-0.6-r3.apk230.4 KiB2024-04-15 23:59:54
hfst-3.16.0-r2.apk1.2 MiB2024-04-15 23:59:55
hfst-libs-3.16.0-r2.apk1.5 MiB2024-04-15 23:59:55
hfst-doc-3.16.0-r2.apk71.1 KiB2024-04-15 23:59:55
hfst-dev-3.16.0-r2.apk212.5 KiB2024-04-15 23:59:55
kerberoast-0.2.0-r1.apk9.6 KiB2024-04-15 23:59:56
imediff-pyc-2.6-r1.apk43.7 KiB2024-04-15 23:59:56
libiio-tools-0.25-r1.apk66.1 KiB2024-04-15 23:59:56
kerberoast-pyc-0.2.0-r1.apk15.2 KiB2024-04-15 23:59:56
imediff-2.6-r1.apk41.6 KiB2024-04-15 23:59:56
libguestfs-static-1.52.0-r1.apk454.1 KiB2024-04-15 23:59:56
ldapdomaindump-0.9.4-r1.apk18.3 KiB2024-04-15 23:59:56
libguestfs-1.52.0-r1.apk322.5 KiB2024-04-15 23:59:56
keystone-python-pyc-0.9.2-r6.apk9.7 KiB2024-04-15 23:59:56
imediff-doc-2.6-r1.apk6.5 KiB2024-04-15 23:59:56
libiio-pyc-0.25-r1.apk21.0 KiB2024-04-15 23:59:56
kimchi-lang-3.0.0-r7.apk172.3 KiB2024-04-15 23:59:56
jackdaw-0.3.1-r1.apk2.0 MiB2024-04-15 23:59:56
kimchi-3.0.0-r7.apk535.9 KiB2024-04-15 23:59:56
kimchi-pyc-3.0.0-r7.apk378.0 KiB2024-04-15 23:59:56
httpie-oauth-1.0.2-r9.apk3.4 KiB2024-04-15 23:59:56
libiio-0.25-r1.apk43.2 KiB2024-04-15 23:59:56
junit2html-pyc-0.2.0-r3.apk24.3 KiB2024-04-15 23:59:56
lfm-doc-3.1-r4.apk2.8 KiB2024-04-15 23:59:56
httpie-oauth-pyc-1.0.2-r9.apk2.3 KiB2024-04-15 23:59:56
kubesplit-pyc-0.3.3-r1.apk13.0 KiB2024-04-15 23:59:56
kubesplit-0.3.3-r1.apk12.7 KiB2024-04-15 23:59:56
libiio-doc-0.25-r1.apk18.0 KiB2024-04-15 23:59:56
keystone-python-0.9.2-r6.apk1.5 MiB2024-04-15 23:59:56
keystone-0.9.2-r6.apk1.3 MiB2024-04-15 23:59:56
libguestfs-dev-1.52.0-r1.apk29.3 KiB2024-04-15 23:59:56
keystone-dev-0.9.2-r6.apk7.3 KiB2024-04-15 23:59:56
lfm-3.1-r4.apk88.1 KiB2024-04-15 23:59:56
lfm-pyc-3.1-r4.apk133.7 KiB2024-04-15 23:59:56
junit2html-0.2.0-r3.apk13.5 KiB2024-04-15 23:59:56
libiio-dev-0.25-r1.apk13.4 KiB2024-04-15 23:59:56
jackdaw-pyc-0.3.1-r1.apk370.3 KiB2024-04-15 23:59:56
ldapdomaindump-pyc-0.9.4-r1.apk30.7 KiB2024-04-15 23:59:56
libguestfs-doc-1.52.0-r1.apk559.8 KiB2024-04-15 23:59:56
limnoria-doc-20220927-r3.apk8.0 KiB2024-04-15 23:59:59
mitmproxy-pyc-10.2.0-r0.apk883.1 KiB2024-04-15 23:59:59
mkdocs-bootstrap4-pyc-0.1.5-r4.apk1.8 KiB2024-04-15 23:59:59
libvoikko-4.3.2-r1.apk107.7 KiB2024-04-15 23:59:59
licenseheaders-0.8.8-r3.apk17.7 KiB2024-04-15 23:59:59
mkdocs-cluster-0.0.9-r4.apk649.1 KiB2024-04-15 23:59:59
libvoikko-doc-4.3.2-r1.apk5.7 KiB2024-04-15 23:59:59
limnoria-pyc-20220927-r3.apk1.2 MiB2024-04-15 23:59:59
mitmproxy-10.2.0-r0.apk1.5 MiB2024-04-15 23:59:59
mkdocs-cinder-pyc-1.2.0-r4.apk1.8 KiB2024-04-15 23:59:59
lshell-doc-0.9.18-r11.apk25.2 KiB2024-04-15 23:59:59
lsip6-pyc-0.2.0-r1.apk5.1 KiB2024-04-15 23:59:59
lshell-pyc-0.9.18-r11.apk35.3 KiB2024-04-15 23:59:59
mimeo-pyc-2023-r1.apk41.6 KiB2024-04-15 23:59:59
licenseheaders-pyc-0.8.8-r3.apk18.4 KiB2024-04-15 23:59:59
limnoria-20220927-r3.apk1.0 MiB2024-04-15 23:59:59
mkdocs-bootstrap4-0.1.5-r4.apk258.8 KiB2024-04-15 23:59:59
lua5.1-libguestfs-1.52.0-r1.apk74.5 KiB2024-04-15 23:59:59
lsip6-0.2.0-r1.apk5.5 KiB2024-04-15 23:59:59
lomiri-url-dispatcher-dev-0.1.3-r1.apk3.4 KiB2024-04-15 23:59:59
masky-0.2.0-r1.apk277.7 KiB2024-04-15 23:59:59
lshell-0.9.18-r11.apk36.5 KiB2024-04-15 23:59:59
mimeo-2023-r1.apk21.4 KiB2024-04-15 23:59:59
mkdocs-cinder-1.2.0-r4.apk245.5 KiB2024-04-15 23:59:59
masky-pyc-0.2.0-r1.apk64.3 KiB2024-04-15 23:59:59
mkdocs-bootstrap-pyc-1.1.1-r1.apk1.8 KiB2024-04-15 23:59:59
lomiri-url-dispatcher-0.1.3-r1.apk33.7 KiB2024-04-15 23:59:59
mkdocs-bootstrap386-0.0.2-r4.apk789.3 KiB2024-04-15 23:59:59
mkdocs-bootstrap386-pyc-0.0.2-r4.apk1.8 KiB2024-04-15 23:59:59
m2r2-pyc-0.3.3-r2.apk15.6 KiB2024-04-15 23:59:59
mkdocs-bootswatch-1.1-r4.apk534.8 KiB2024-04-15 23:59:59
m2r2-0.3.3-r2.apk11.9 KiB2024-04-15 23:59:59
lomiri-url-dispatcher-lang-0.1.3-r1.apk20.8 KiB2024-04-15 23:59:59
libvoikko-dev-4.3.2-r1.apk9.9 KiB2024-04-15 23:59:59
mkdocs-bootstrap-1.1.1-r1.apk27.4 KiB2024-04-15 23:59:59
mkdocs-bootswatch-pyc-1.1-r4.apk4.7 KiB2024-04-15 23:59:59
mkdocs-gitbook-pyc-0.0.1-r4.apk1.8 KiB2024-04-16 00:00:00
py3-aesedb-pyc-0.1.6-r2.apk75.7 KiB2024-04-16 00:00:00
mnamer-2.5.5-r1.apk31.7 KiB2024-04-16 00:00:00
pacparser-doc-1.4.3-r1.apk17.8 KiB2024-04-16 00:00:00
mkdocs-ivory-pyc-0.4.6-r4.apk1.8 KiB2024-04-16 00:00:00
py3-aiohttp-session-pyc-2.12.0-r3.apk15.1 KiB2024-04-16 00:00:00
py3-aiosasl-0.5.0-r3.apk15.0 KiB2024-04-16 00:00:00
py3-aesedb-0.1.6-r2.apk37.2 KiB2024-04-16 00:00:00
nicotine-plus-lang-3.3.2-r1.apk577.1 KiB2024-04-16 00:00:00
py3-altgraph-0.17.4-r1.apk20.7 KiB2024-04-16 00:00:00
py3-allfiles-1.0-r8.apk3.6 KiB2024-04-16 00:00:00
pantalaimon-pyc-0.10.5-r4.apk82.9 KiB2024-04-16 00:00:00
pantalaimon-doc-0.10.5-r4.apk6.4 KiB2024-04-16 00:00:00
opendht-libs-3.1.7-r2.apk512.8 KiB2024-04-16 00:00:00
mkdocs-cluster-pyc-0.0.9-r4.apk1.8 KiB2024-04-16 00:00:00
pdm-2.12.4-r1.apk210.3 KiB2024-04-16 00:00:00
py3-anyascii-pyc-0.3.2-r1.apk3.3 KiB2024-04-16 00:00:00
pacparser-1.4.3-r1.apk680.3 KiB2024-04-16 00:00:00
py3-aiohttp-session-2.12.0-r3.apk10.3 KiB2024-04-16 00:00:00
py3-aiohttp-jinja2-pyc-1.6-r2.apk9.2 KiB2024-04-16 00:00:00
py3-aiohttp-remotes-1.2.0-r3.apk10.5 KiB2024-04-16 00:00:00
nicotine-plus-doc-3.3.2-r1.apk2.4 KiB2024-04-16 00:00:00
py3-aiodocker-pyc-0.21.0-r1.apk60.4 KiB2024-04-16 00:00:00
mkdocs-rtd-dropdown-pyc-1.0.2-r4.apk1.8 KiB2024-04-16 00:00:00
py3-aioopenssl-pyc-0.6.0-r3.apk19.2 KiB2024-04-16 00:00:00
openscap-daemon-doc-0.1.10-r9.apk17.5 KiB2024-04-16 00:00:00
openscap-daemon-pyc-0.1.10-r9.apk101.9 KiB2024-04-16 00:00:00
opendht-dev-3.1.7-r2.apk72.1 KiB2024-04-16 00:00:00
py3-aiohttp-debugtoolbar-pyc-0.6.1-r1.apk51.2 KiB2024-04-16 00:00:00
pass2csv-pyc-1.1.1-r1.apk7.5 KiB2024-04-16 00:00:00
mkdocs-gitbook-0.0.1-r4.apk638.6 KiB2024-04-16 00:00:00
py3-aiosasl-pyc-0.5.0-r3.apk24.0 KiB2024-04-16 00:00:00
mkdocs-windmill-pyc-1.0.5-r3.apk1.8 KiB2024-04-16 00:00:00
py3-aiosmb-0.4.10-r1.apk596.7 KiB2024-04-16 00:00:00
openscap-daemon-0.1.10-r9.apk60.2 KiB2024-04-16 00:00:00
nicotine-plus-3.3.2-r1.apk1.2 MiB2024-04-16 00:00:00
py3-aiohttp-jinja2-1.6-r2.apk12.4 KiB2024-04-16 00:00:00
ovos-phal-0.0.5_alpha6-r1.apk10.4 KiB2024-04-16 00:00:00
py3-agithub-pyc-2.2.2-r5.apk21.6 KiB2024-04-16 00:00:00
pantalaimon-ui-0.10.5-r4.apk1.7 KiB2024-04-16 00:00:00
ovos-phal-pyc-0.0.5_alpha6-r1.apk6.9 KiB2024-04-16 00:00:00
opendht-doc-3.1.7-r2.apk3.1 KiB2024-04-16 00:00:00
py3-allfiles-pyc-1.0-r8.apk3.3 KiB2024-04-16 00:00:00
py3-aioxmpp-doc-0.13.3-r2.apk18.4 KiB2024-04-16 00:00:00
ovos-messagebus-0.0.3-r1.apk9.6 KiB2024-04-16 00:00:00
ovos-gui-pyc-0.0.3_alpha5-r1.apk31.8 KiB2024-04-16 00:00:00
py3-aioxmpp-pyc-0.13.3-r2.apk673.1 KiB2024-04-16 00:00:00
openswitcher-proxy-openrc-0.5.0-r3.apk2.1 KiB2024-04-16 00:00:00
py3-ansi2html-1.9.1-r1.apk17.7 KiB2024-04-16 00:00:00
py3-aiosmb-pyc-0.4.10-r1.apk1.1 MiB2024-04-16 00:00:00
pdm-pyc-2.12.4-r1.apk444.2 KiB2024-04-16 00:00:00
mnamer-pyc-2.5.5-r1.apk60.5 KiB2024-04-16 00:00:00
pass2csv-1.1.1-r1.apk8.4 KiB2024-04-16 00:00:00
nicotine-plus-pyc-3.3.2-r1.apk755.0 KiB2024-04-16 00:00:00
py3-altgraph-pyc-0.17.4-r1.apk29.1 KiB2024-04-16 00:00:00
nwg-displays-pyc-0.3.13-r1.apk34.8 KiB2024-04-16 00:00:00
py3-aiohttp-remotes-pyc-1.2.0-r3.apk18.9 KiB2024-04-16 00:00:00
py3-anyascii-0.3.2-r1.apk274.7 KiB2024-04-16 00:00:00
mobpass-0.2-r5.apk5.7 KiB2024-04-16 00:00:00
mkdocs-rtd-dropdown-1.0.2-r4.apk246.5 KiB2024-04-16 00:00:00
ovos-messagebus-pyc-0.0.3-r1.apk6.1 KiB2024-04-16 00:00:00
mkdocs-windmill-1.0.5-r3.apk942.9 KiB2024-04-16 00:00:00
opendht-3.1.7-r2.apk161.6 KiB2024-04-16 00:00:00
py3-agithub-2.2.2-r5.apk17.2 KiB2024-04-16 00:00:00
py3-ansi2html-pyc-1.9.1-r1.apk21.9 KiB2024-04-16 00:00:00
openswitcher-0.5.0-r3.apk148.4 KiB2024-04-16 00:00:00
ovos-gui-0.0.3_alpha5-r1.apk40.4 KiB2024-04-16 00:00:00
openswitcher-proxy-0.5.0-r3.apk9.4 KiB2024-04-16 00:00:00
pdal-python-plugins-1.2.1-r3.apk192.6 KiB2024-04-16 00:00:00
pacparser-dev-1.4.3-r1.apk3.6 KiB2024-04-16 00:00:00
ovos-skill-hello-world-0.0.4_alpha3-r1.apk45.6 KiB2024-04-16 00:00:00
py3-aiodocker-0.21.0-r1.apk29.3 KiB2024-04-16 00:00:00
pantalaimon-0.10.5-r4.apk44.8 KiB2024-04-16 00:00:00
py3-aioxmpp-0.13.3-r2.apk367.8 KiB2024-04-16 00:00:00
py3-aioopenssl-0.6.0-r3.apk16.8 KiB2024-04-16 00:00:00
mkdocs-ivory-0.4.6-r4.apk9.2 KiB2024-04-16 00:00:00
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk4.0 KiB2024-04-16 00:00:00
nwg-displays-0.3.13-r1.apk23.6 KiB2024-04-16 00:00:00
py3-aiosasl-doc-0.5.0-r3.apk16.5 KiB2024-04-16 00:00:00
mobpass-pyc-0.2-r5.apk5.3 KiB2024-04-16 00:00:00
py3-aiohttp-debugtoolbar-0.6.1-r1.apk437.3 KiB2024-04-16 00:00:00
py3-asif-0.3.2-r2.apk12.2 KiB2024-04-16 00:00:01
py3-banal-pyc-1.0.6-r3.apk7.2 KiB2024-04-16 00:00:01
py3-avro-pyc-1.11.3-r1.apk191.4 KiB2024-04-16 00:00:01
py3-bidict-0.23.1-r1.apk27.7 KiB2024-04-16 00:00:01
py3-blockdiag-tests-3.0.0-r4.apk2.5 MiB2024-04-16 00:00:01
py3-bookkeeper-4.16.2-r1.apk42.8 KiB2024-04-16 00:00:01
py3-bson-0.5.10-r5.apk11.4 KiB2024-04-16 00:00:01
py3-bottle-werkzeug-0.1.1-r9.apk4.1 KiB2024-04-16 00:00:01
py3-bson-pyc-0.5.10-r5.apk18.5 KiB2024-04-16 00:00:01
py3-blockchain-1.4.4-r6.apk10.4 KiB2024-04-16 00:00:01
py3-barcodenumber-0.2.1-r10.apk16.3 KiB2024-04-16 00:00:01
py3-arpeggio-2.0.2-r1.apk21.9 KiB2024-04-16 00:00:01
py3-async-lru-2.0.4-r1.apk7.3 KiB2024-04-16 00:00:01
py3-asif-pyc-0.3.2-r2.apk25.9 KiB2024-04-16 00:00:01
py3-bite-parser-pyc-0.2.4-r1.apk23.6 KiB2024-04-16 00:00:01
py3-bottle-rest-pyc-0.6.0-r1.apk5.2 KiB2024-04-16 00:00:01
py3-banal-1.0.6-r3.apk5.7 KiB2024-04-16 00:00:01
py3-blockdiag-3.0.0-r4.apk70.1 KiB2024-04-16 00:00:01
py3-ask-0.0.8-r8.apk5.0 KiB2024-04-16 00:00:01
py3-bottle-rest-0.6.0-r1.apk6.1 KiB2024-04-16 00:00:01
py3-base58-2.1.1-r1.apk10.4 KiB2024-04-16 00:00:01
py3-ask-pyc-0.0.8-r8.apk4.5 KiB2024-04-16 00:00:01
py3-businesstime-pyc-0.3.0-r9.apk16.3 KiB2024-04-16 00:00:01
py3-astral-pyc-3.2-r3.apk58.9 KiB2024-04-16 00:00:01
py3-bottle-sqlite-pyc-0.2.0-r7.apk5.2 KiB2024-04-16 00:00:01
py3-blockdiag-pyc-3.0.0-r4.apk150.1 KiB2024-04-16 00:00:01
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk5.6 KiB2024-04-16 00:00:01
py3-bottle-renderer-0.1.1-r9.apk4.0 KiB2024-04-16 00:00:01
py3-bitstruct-pyc-8.19.0-r1.apk12.8 KiB2024-04-16 00:00:01
py3-bottle-werkzeug-pyc-0.1.1-r9.apk4.3 KiB2024-04-16 00:00:01
py3-apsw-pyc-3.45.2.0-r1.apk319.2 KiB2024-04-16 00:00:01
py3-bandwidth-sdk-pyc-3.1.0-r7.apk69.3 KiB2024-04-16 00:00:01
py3-bencode-4.0.0-r1.apk17.1 KiB2024-04-16 00:00:01
py3-bencode-pyc-4.0.0-r1.apk10.5 KiB2024-04-16 00:00:01
py3-asysocks-0.2.12-r1.apk86.6 KiB2024-04-16 00:00:01
py3-bottle-request-0.2.0-r9.apk3.2 KiB2024-04-16 00:00:01
py3-apicula-pyc-0.11.1-r1.apk179.5 KiB2024-04-16 00:00:01
py3-apicula-0.11.1-r1.apk8.5 MiB2024-04-16 00:00:01
py3-bottle-renderer-pyc-0.1.1-r9.apk3.7 KiB2024-04-16 00:00:01
py3-bottle-sqlalchemy-0.4.3-r8.apk4.8 KiB2024-04-16 00:00:01
py3-astral-3.2-r3.apk37.0 KiB2024-04-16 00:00:01
py3-bookkeeper-pyc-4.16.2-r1.apk67.7 KiB2024-04-16 00:00:01
py3-bottle-websocket-0.2.9-r8.apk4.6 KiB2024-04-16 00:00:01
py3-bottle-request-pyc-0.2.0-r9.apk2.6 KiB2024-04-16 00:00:01
py3-bottle-session-pyc-1.0-r6.apk7.8 KiB2024-04-16 00:00:01
py3-bottle-api-0.0.4-r7.apk4.9 KiB2024-04-16 00:00:01
py3-apsw-3.45.2.0-r1.apk337.0 KiB2024-04-16 00:00:01
py3-asysocks-pyc-0.2.12-r1.apk231.0 KiB2024-04-16 00:00:01
py3-blockchain-pyc-1.4.4-r6.apk17.9 KiB2024-04-16 00:00:01
py3-bottle-sqlite-0.2.0-r7.apk4.7 KiB2024-04-16 00:00:01
py3-bite-parser-0.2.4-r1.apk14.0 KiB2024-04-16 00:00:01
py3-bottle-redis-0.2.3-r6.apk3.3 KiB2024-04-16 00:00:01
py3-bidict-pyc-0.23.1-r1.apk28.8 KiB2024-04-16 00:00:01
py3-barcodenumber-pyc-0.2.1-r10.apk4.3 KiB2024-04-16 00:00:01
py3-arpeggio-pyc-2.0.2-r1.apk41.3 KiB2024-04-16 00:00:01
py3-bottle-pgsql-0.2-r5.apk4.3 KiB2024-04-16 00:00:01
py3-bitstruct-8.19.0-r1.apk32.7 KiB2024-04-16 00:00:01
py3-bottle-redis-pyc-0.2.3-r6.apk3.1 KiB2024-04-16 00:00:01
py3-bottle-api-pyc-0.0.4-r7.apk5.2 KiB2024-04-16 00:00:01
py3-bottle-websocket-pyc-0.2.9-r8.apk3.1 KiB2024-04-16 00:00:01
py3-avro-1.11.3-r1.apk97.7 KiB2024-04-16 00:00:01
py3-asyauth-pyc-0.0.20-r1.apk171.4 KiB2024-04-16 00:00:01
py3-async-lru-pyc-2.0.4-r1.apk8.6 KiB2024-04-16 00:00:01
py3-bandwidth-sdk-3.1.0-r7.apk44.4 KiB2024-04-16 00:00:01
py3-businesstime-0.3.0-r9.apk10.6 KiB2024-04-16 00:00:01
py3-bottle-session-1.0-r6.apk10.2 KiB2024-04-16 00:00:01
py3-asyauth-0.0.20-r1.apk79.4 KiB2024-04-16 00:00:01
py3-django-timezone-field-6.1.0-r2.apk11.6 KiB2024-04-16 00:00:02
py3-coreapi-2.3.3-r8.apk21.3 KiB2024-04-16 00:00:02
py3-django-js-asset-2.2-r2.apk5.8 KiB2024-04-16 00:00:02
py3-cvxpy-pyc-1.2.1-r4.apk936.1 KiB2024-04-16 00:00:02
py3-daterangestr-0.0.3-r8.apk4.4 KiB2024-04-16 00:00:02
py3-diskcache-5.6.3-r1.apk41.6 KiB2024-04-16 00:00:02
py3-dateparser-pyc-1.2.0-r1.apk341.0 KiB2024-04-16 00:00:02
py3-dnslib-0.9.24-r1.apk51.4 KiB2024-04-16 00:00:02
py3-class-doc-pyc-1.25-r1.apk8.7 KiB2024-04-16 00:00:02
py3-eradicate-pyc-2.3.0-r1.apk8.4 KiB2024-04-16 00:00:02
py3-colored-1.4.4-r2.apk13.5 KiB2024-04-16 00:00:02
py3-dweepy-0.3.0-r7.apk9.1 KiB2024-04-16 00:00:02
py3-empy-3.3.4-r6.apk30.0 KiB2024-04-16 00:00:02
py3-discogs-client-pyc-2.7-r2.apk32.5 KiB2024-04-16 00:00:02
py3-cmd2-2.4.3-r2.apk139.4 KiB2024-04-16 00:00:02
py3-catkin-pkg-pyc-0.5.2-r3.apk103.0 KiB2024-04-16 00:00:02
py3-cookiecutter-doc-2.6.0-r1.apk3.7 KiB2024-04-16 00:00:02
py3-euclid3-0.01-r7.apk14.0 KiB2024-04-16 00:00:02
py3-caldav-1.3.9-r1.apk64.0 KiB2024-04-16 00:00:02
py3-ecos-pyc-2.0.11-r3.apk3.6 KiB2024-04-16 00:00:02
py3-cchardet-2.1.7-r4.apk102.3 KiB2024-04-16 00:00:02
py3-cookiecutter-2.6.0-r1.apk35.4 KiB2024-04-16 00:00:02
py3-c3d-0.5.2-r1.apk32.1 KiB2024-04-16 00:00:02
py3-doit-pyc-0.36.0-r4.apk133.7 KiB2024-04-16 00:00:02
py3-dnslib-pyc-0.9.24-r1.apk108.3 KiB2024-04-16 00:00:02
py3-click-threading-0.5.0-r4.apk5.4 KiB2024-04-16 00:00:02
py3-dotty-dict-1.3.1-r3.apk7.5 KiB2024-04-16 00:00:02
py3-crc16-pyc-0.1.1-r10.apk4.7 KiB2024-04-16 00:00:02
py3-cjkwrap-2.2-r3.apk4.5 KiB2024-04-16 00:00:02
py3-django-taggit-serializer-0.1.7-r8.apk4.0 KiB2024-04-16 00:00:02
py3-colander-pyc-2.0-r1.apk42.5 KiB2024-04-16 00:00:02
py3-dpath-pyc-2.1.6-r1.apk17.9 KiB2024-04-16 00:00:02
py3-dep-logic-0.2.0-r1.apk22.3 KiB2024-04-16 00:00:02
py3-compdb-0.2.0-r7.apk21.9 KiB2024-04-16 00:00:02
py3-caldav-pyc-1.3.9-r1.apk79.9 KiB2024-04-16 00:00:02
py3-cmd2-pyc-2.4.3-r2.apk222.6 KiB2024-04-16 00:00:02
py3-django-js-asset-pyc-2.2-r2.apk4.2 KiB2024-04-16 00:00:02
py3-compdb-doc-0.2.0-r7.apk3.1 KiB2024-04-16 00:00:02
py3-dominate-pyc-2.9.1-r1.apk33.7 KiB2024-04-16 00:00:02
py3-dominate-2.9.1-r1.apk24.8 KiB2024-04-16 00:00:02
py3-discid-pyc-1.2.0-r5.apk13.1 KiB2024-04-16 00:00:02
py3-enzyme-0.4.1-r4.apk48.5 KiB2024-04-16 00:00:02
py3-cdio-2.1.1-r4.apk84.2 KiB2024-04-16 00:00:02
py3-dateparser-1.2.0-r1.apk204.7 KiB2024-04-16 00:00:02
py3-dexml-pyc-0.5.1-r9.apk37.5 KiB2024-04-16 00:00:02
py3-distorm3-pyc-3.5.2-r5.apk48.5 KiB2024-04-16 00:00:02
py3-django-suit-0.2.28-r7.apk354.2 KiB2024-04-16 00:00:02
py3-django-mptt-pyc-0.16-r2.apk72.3 KiB2024-04-16 00:00:02
py3-ecos-2.0.11-r3.apk14.5 KiB2024-04-16 00:00:02
py3-drf-yasg-1.21.7-r1.apk4.0 MiB2024-04-16 00:00:02
py3-docformatter-pyc-1.7.5-r3.apk36.1 KiB2024-04-16 00:00:02
py3-cobs-pyc-1.2.0-r3.apk12.5 KiB2024-04-16 00:00:02
py3-dataclasses-serialization-pyc-1.3.1-r3.apk14.3 KiB2024-04-16 00:00:02
py3-dict2xml-1.7.5-r2.apk9.1 KiB2024-04-16 00:00:02
py3-dogpile.cache-pyc-1.2.2-r1.apk77.5 KiB2024-04-16 00:00:02
py3-confluent-kafka-pyc-1.8.2-r4.apk77.1 KiB2024-04-16 00:00:02
py3-django-suit-pyc-0.2.28-r7.apk32.5 KiB2024-04-16 00:00:02
py3-distorm3-3.5.2-r5.apk44.6 KiB2024-04-16 00:00:02
py3-cassandra-driver-3.29.1-r0.apk288.8 KiB2024-04-16 00:00:02
py3-empy-pyc-3.3.4-r6.apk58.4 KiB2024-04-16 00:00:02
py3-c3d-pyc-0.5.2-r1.apk53.7 KiB2024-04-16 00:00:02
py3-cjkwrap-pyc-2.2-r3.apk5.3 KiB2024-04-16 00:00:02
py3-discogs-client-2.7-r2.apk16.1 KiB2024-04-16 00:00:02
py3-duniterpy-1.1.1-r3.apk221.3 KiB2024-04-16 00:00:02
py3-django-mptt-0.16-r2.apk82.0 KiB2024-04-16 00:00:02
py3-dpath-2.1.6-r1.apk15.8 KiB2024-04-16 00:00:02
py3-createrepo_c-pyc-1.0.2-r1.apk7.7 KiB2024-04-16 00:00:02
py3-cchardet-pyc-2.1.7-r4.apk3.1 KiB2024-04-16 00:00:02
py3-cvxpy-1.2.1-r4.apk605.9 KiB2024-04-16 00:00:02
py3-catkin-pkg-0.5.2-r3.apk55.5 KiB2024-04-16 00:00:02
py3-colander-2.0-r1.apk60.0 KiB2024-04-16 00:00:02
py3-dogpile.cache-1.2.2-r1.apk46.3 KiB2024-04-16 00:00:02
py3-ciso8601-2.3.1-r1.apk15.7 KiB2024-04-16 00:00:02
py3-click-completion-0.5.2-r1.apk10.8 KiB2024-04-16 00:00:02
py3-colorthief-pyc-0.2.1-r1.apk10.1 KiB2024-04-16 00:00:02
py3-drf-yasg-pyc-1.21.7-r1.apk97.5 KiB2024-04-16 00:00:02
py3-cstruct-pyc-5.3-r1.apk36.1 KiB2024-04-16 00:00:02
py3-crc16-0.1.1-r10.apk11.6 KiB2024-04-16 00:00:02
py3-dotty-dict-pyc-1.3.1-r3.apk8.7 KiB2024-04-16 00:00:02
py3-django-taggit-serializer-pyc-0.1.7-r8.apk5.0 KiB2024-04-16 00:00:02
py3-doit-0.36.0-r4.apk75.5 KiB2024-04-16 00:00:02
py3-dict2xml-pyc-1.7.5-r2.apk7.8 KiB2024-04-16 00:00:02
py3-django-timezone-field-pyc-6.1.0-r2.apk10.9 KiB2024-04-16 00:00:02
py3-click-default-group-1.2.4-r1.apk5.1 KiB2024-04-16 00:00:02
py3-eradicate-doc-2.3.0-r1.apk2.5 KiB2024-04-16 00:00:02
py3-dweepy-pyc-0.3.0-r7.apk6.3 KiB2024-04-16 00:00:02
py3-evohome-client-0.3.7-r3.apk14.5 KiB2024-04-16 00:00:02
py3-columnize-pyc-0.3.11-r3.apk7.5 KiB2024-04-16 00:00:02
py3-enzyme-pyc-0.4.1-r4.apk30.0 KiB2024-04-16 00:00:02
py3-cookiecutter-pyc-2.6.0-r1.apk47.5 KiB2024-04-16 00:00:02
py3-coreapi-pyc-2.3.3-r8.apk43.2 KiB2024-04-16 00:00:02
py3-cstruct-5.3-r1.apk22.1 KiB2024-04-16 00:00:02
py3-click-completion-pyc-0.5.2-r1.apk14.2 KiB2024-04-16 00:00:02
py3-dataclasses-serialization-1.3.1-r3.apk11.0 KiB2024-04-16 00:00:02
py3-createrepo_c-1.0.2-r1.apk36.0 KiB2024-04-16 00:00:02
py3-colored-pyc-1.4.4-r2.apk17.0 KiB2024-04-16 00:00:02
py3-dep-logic-pyc-0.2.0-r1.apk38.7 KiB2024-04-16 00:00:02
py3-downloader-cli-0.3.4-r1.apk11.5 KiB2024-04-16 00:00:02
py3-evohome-client-pyc-0.3.7-r3.apk27.1 KiB2024-04-16 00:00:02
py3-dexml-0.5.1-r9.apk22.2 KiB2024-04-16 00:00:02
py3-euclid3-pyc-0.01-r7.apk32.6 KiB2024-04-16 00:00:02
py3-cucumber-tag-expressions-6.0.0-r1.apk8.5 KiB2024-04-16 00:00:02
py3-confluent-kafka-1.8.2-r4.apk86.8 KiB2024-04-16 00:00:02
py3-class-doc-1.25-r1.apk6.1 KiB2024-04-16 00:00:02
py3-docformatter-1.7.5-r3.apk25.0 KiB2024-04-16 00:00:02
py3-click-threading-pyc-0.5.0-r4.apk7.9 KiB2024-04-16 00:00:02
py3-cdio-pyc-2.1.1-r4.apk43.3 KiB2024-04-16 00:00:02
py3-downloader-cli-pyc-0.3.4-r1.apk14.3 KiB2024-04-16 00:00:02
py3-cucumber-tag-expressions-pyc-6.0.0-r1.apk10.0 KiB2024-04-16 00:00:02
py3-diskcache-pyc-5.6.3-r1.apk67.1 KiB2024-04-16 00:00:02
py3-compdb-pyc-0.2.0-r7.apk39.6 KiB2024-04-16 00:00:02
py3-discid-1.2.0-r5.apk9.6 KiB2024-04-16 00:00:02
py3-eradicate-2.3.0-r1.apk7.5 KiB2024-04-16 00:00:02
py3-colorthief-0.2.1-r1.apk7.3 KiB2024-04-16 00:00:02
py3-cobs-1.2.0-r3.apk14.6 KiB2024-04-16 00:00:02
py3-editdistance-s-pyc-1.0.0-r5.apk2.0 KiB2024-04-16 00:00:02
py3-columnize-0.3.11-r3.apk7.6 KiB2024-04-16 00:00:02
py3-daterangestr-pyc-0.0.3-r8.apk4.2 KiB2024-04-16 00:00:02
py3-cassandra-driver-pyc-3.29.1-r0.apk560.8 KiB2024-04-16 00:00:02
py3-click-default-group-pyc-1.2.4-r1.apk4.5 KiB2024-04-16 00:00:02
py3-editdistance-s-1.0.0-r5.apk13.3 KiB2024-04-16 00:00:02
py3-fpdf-1.7.2-r5.apk39.7 KiB2024-04-16 00:00:03
py3-flake8-snippets-pyc-0.2-r8.apk3.7 KiB2024-04-16 00:00:03
py3-flask-bcrypt-1.0.1-r5.apk7.1 KiB2024-04-16 00:00:03
py3-flask-peewee-3.0.4-r6.apk171.7 KiB2024-04-16 00:00:03
py3-flask-security-5.4.3-r1.apk267.1 KiB2024-04-16 00:00:03
py3-i18naddress-pyc-3.1.0-r2.apk13.4 KiB2024-04-16 00:00:03
py3-intervals-pyc-0.9.2-r4.apk15.2 KiB2024-04-16 00:00:03
py3-iniparse-0.5-r6.apk13.5 KiB2024-04-16 00:00:03
py3-iniparse-doc-0.5-r6.apk10.4 KiB2024-04-16 00:00:03
py3-gls-pyc-1.3.1-r1.apk84.1 KiB2024-04-16 00:00:03
py3-git-versioner-7.1-r1.apk12.0 KiB2024-04-16 00:00:03
py3-kazoo-pyc-0_git20211202-r3.apk244.5 KiB2024-04-16 00:00:03
py3-google-trans-new-pyc-1.1.9-r2.apk10.6 KiB2024-04-16 00:00:03
py3-flask-dbconfig-pyc-0.3.12-r8.apk6.3 KiB2024-04-16 00:00:03
py3-github3-4.0.1-r1.apk128.3 KiB2024-04-16 00:00:03
py3-flake8-copyright-0.2.4-r3.apk18.2 KiB2024-04-16 00:00:03
py3-flask-markdown-0.3-r8.apk5.6 KiB2024-04-16 00:00:03
py3-flask-flatpages-pyc-0.8.2-r2.apk13.4 KiB2024-04-16 00:00:03
py3-hiplot-0.1.33-r1.apk104.8 KiB2024-04-16 00:00:03
py3-fastdiff-0.3.0-r4.apk37.5 KiB2024-04-16 00:00:03
py3-flake8-isort-pyc-6.1.1-r1.apk5.4 KiB2024-04-16 00:00:03
py3-jsonschema417-pyc-4.17.3-r1.apk125.2 KiB2024-04-16 00:00:03
py3-geoip-1.3.2-r3.apk19.3 KiB2024-04-16 00:00:03
py3-firmata-1.0.3-r9.apk13.2 KiB2024-04-16 00:00:03
py3-flask-loopback-pyc-1.4.7-r7.apk7.9 KiB2024-04-16 00:00:03
py3-flask-markdown-pyc-0.3-r8.apk3.9 KiB2024-04-16 00:00:03
py3-flask-themer-pyc-2.0.0-r1.apk7.0 KiB2024-04-16 00:00:03
py3-flask-gzip-pyc-0.2-r8.apk2.8 KiB2024-04-16 00:00:03
py3-flask-cdn-pyc-1.5.3-r7.apk4.1 KiB2024-04-16 00:00:03
py3-helper-2.5.0-r4.apk18.0 KiB2024-04-16 00:00:03
py3-google-trans-new-1.1.9-r2.apk9.2 KiB2024-04-16 00:00:03
py3-janus-pyc-1.0.0-r3.apk13.3 KiB2024-04-16 00:00:03
py3-flask-json-schema-pyc-0.0.5-r4.apk3.4 KiB2024-04-16 00:00:03
py3-flake8-debugger-pyc-4.1.2-r4.apk6.0 KiB2024-04-16 00:00:03
py3-flask-restless-pyc-0.17.0-r9.apk59.1 KiB2024-04-16 00:00:03
py3-ffmpeg-0.2.0-r3.apk19.6 KiB2024-04-16 00:00:03
py3-incoming-pyc-0.3.1-r7.apk20.0 KiB2024-04-16 00:00:03
py3-funcparserlib-pyc-1.0.1-r4.apk18.7 KiB2024-04-16 00:00:03
py3-flask-cdn-1.5.3-r7.apk4.0 KiB2024-04-16 00:00:03
py3-flake8-print-pyc-5.0.0-r5.apk4.4 KiB2024-04-16 00:00:03
py3-flask-headers-1.0-r9.apk3.2 KiB2024-04-16 00:00:03
py3-flake8-todo-0.7-r7.apk3.6 KiB2024-04-16 00:00:03
py3-gevent-websocket-pyc-0.10.1-r7.apk30.4 KiB2024-04-16 00:00:03
py3-helper-pyc-2.5.0-r4.apk28.2 KiB2024-04-16 00:00:03
py3-funcparserlib-1.0.1-r4.apk16.6 KiB2024-04-16 00:00:03
py3-hiplot-pyc-0.1.33-r1.apk54.1 KiB2024-04-16 00:00:03
py3-flake8-todo-pyc-0.7-r7.apk2.2 KiB2024-04-16 00:00:03
py3-langcodes-pyc-3.3.0-r2.apk109.7 KiB2024-04-16 00:00:03
py3-i18naddress-3.1.0-r2.apk737.6 KiB2024-04-16 00:00:03
py3-flask-restless-0.17.0-r9.apk40.5 KiB2024-04-16 00:00:03
py3-flask-restaction-0.25.3-r8.apk114.8 KiB2024-04-16 00:00:03
py3-janus-1.0.0-r3.apk8.2 KiB2024-04-16 00:00:03
py3-feedgenerator-2.1.0-r1.apk16.7 KiB2024-04-16 00:00:03
py3-hurry.filesize-pyc-0.9-r7.apk3.3 KiB2024-04-16 00:00:03
py3-feedgen-pyc-1.0.0-r1.apk61.7 KiB2024-04-16 00:00:03
py3-ffmpeg-pyc-0.2.0-r3.apk32.8 KiB2024-04-16 00:00:03
py3-iniparse-pyc-0.5-r6.apk24.7 KiB2024-04-16 00:00:03
py3-flask-bcrypt-pyc-1.0.1-r5.apk5.8 KiB2024-04-16 00:00:03
py3-kazoo-0_git20211202-r3.apk119.5 KiB2024-04-16 00:00:03
py3-itemadapter-0.8.0-r2.apk10.3 KiB2024-04-16 00:00:03
py3-html5-parser-0.4.12-r1.apk154.1 KiB2024-04-16 00:00:03
py3-flake8-import-order-pyc-0.18.2-r4.apk16.8 KiB2024-04-16 00:00:03
py3-feedgenerator-pyc-2.1.0-r1.apk26.8 KiB2024-04-16 00:00:03
py3-itunespy-pyc-1.6-r3.apk14.8 KiB2024-04-16 00:00:03
py3-infinity-1.5-r5.apk3.5 KiB2024-04-16 00:00:03
py3-junit-xml-pyc-1.9-r2.apk9.3 KiB2024-04-16 00:00:03
py3-flask-accept-0.0.6-r1.apk5.0 KiB2024-04-16 00:00:03
py3-flask-bootstrap-3.3.7.1-r8.apk449.8 KiB2024-04-16 00:00:03
py3-feedgen-1.0.0-r1.apk40.2 KiB2024-04-16 00:00:03
py3-flake8-blind-except-0.2.1-r4.apk5.2 KiB2024-04-16 00:00:03
py3-landlock-pyc-1.0.0_pre4-r2.apk9.5 KiB2024-04-16 00:00:03
py3-forbiddenfruit-0.1.4-r2.apk9.0 KiB2024-04-16 00:00:03
py3-hurry.filesize-0.9-r7.apk4.4 KiB2024-04-16 00:00:03
py3-flask-admin-pyc-1.6.1-r3.apk358.3 KiB2024-04-16 00:00:03
py3-imdbpy-pyc-2021.4.18-r4.apk242.6 KiB2024-04-16 00:00:03
py3-flask-basicauth-pyc-0.2.0-r9.apk4.1 KiB2024-04-16 00:00:03
py3-fastdiff-pyc-0.3.0-r4.apk4.2 KiB2024-04-16 00:00:03
py3-invoke-2.2.0-r2.apk147.0 KiB2024-04-16 00:00:03
py3-flask-dbconfig-0.3.12-r8.apk85.7 KiB2024-04-16 00:00:03
py3-flask-qrcode-pyc-3.1.0-r4.apk6.2 KiB2024-04-16 00:00:03
py3-flake8-debugger-4.1.2-r4.apk6.2 KiB2024-04-16 00:00:03
py3-flake8-copyright-pyc-0.2.4-r3.apk3.3 KiB2024-04-16 00:00:03
py3-flask-gzip-0.2-r8.apk3.2 KiB2024-04-16 00:00:03
py3-jsonschema417-4.17.3-r1.apk73.3 KiB2024-04-16 00:00:03
py3-hfst-3.16.0-r2.apk344.3 KiB2024-04-16 00:00:03
py3-flask-json-schema-0.0.5-r4.apk4.1 KiB2024-04-16 00:00:03
py3-flask-cache-0.13.1-r9.apk12.8 KiB2024-04-16 00:00:03
py3-flask-paginate-0.8.1-r6.apk8.2 KiB2024-04-16 00:00:03
py3-forbiddenfruit-pyc-0.1.4-r2.apk9.7 KiB2024-04-16 00:00:03
py3-flake8-builtins-pyc-2.1.0-r3.apk7.0 KiB2024-04-16 00:00:03
py3-flask-basicauth-0.2.0-r9.apk5.3 KiB2024-04-16 00:00:03
py3-flake8-isort-6.1.1-r1.apk18.2 KiB2024-04-16 00:00:03
py3-itunespy-1.6-r3.apk8.9 KiB2024-04-16 00:00:03
py3-flask-cache-pyc-0.13.1-r9.apk18.3 KiB2024-04-16 00:00:03
py3-flask-qrcode-3.1.0-r4.apk18.3 KiB2024-04-16 00:00:03
py3-langcodes-3.3.0-r2.apk173.5 KiB2024-04-16 00:00:03
py3-flask-autorouter-0.2.2-r3.apk5.1 KiB2024-04-16 00:00:03
py3-hg-git-pyc-1.1.1-r1.apk106.8 KiB2024-04-16 00:00:03
py3-flask-security-pyc-5.4.3-r1.apk210.7 KiB2024-04-16 00:00:03
py3-flake8-blind-except-pyc-0.2.1-r4.apk2.6 KiB2024-04-16 00:00:03
py3-halo-0.0.31-r4.apk10.4 KiB2024-04-16 00:00:03
py3-gevent-websocket-0.10.1-r7.apk18.7 KiB2024-04-16 00:00:03
py3-grequests-pyc-0.7.0-r1.apk5.9 KiB2024-04-16 00:00:03
py3-invoke-pyc-2.2.0-r2.apk231.4 KiB2024-04-16 00:00:03
py3-hg-git-1.1.1-r1.apk70.1 KiB2024-04-16 00:00:03
py3-flask-loopback-1.4.7-r7.apk5.6 KiB2024-04-16 00:00:03
py3-flask-themer-2.0.0-r1.apk7.0 KiB2024-04-16 00:00:03
py3-firmata-pyc-1.0.3-r9.apk20.9 KiB2024-04-16 00:00:03
py3-flask-httpauth-pyc-4.8.0-r2.apk10.6 KiB2024-04-16 00:00:03
py3-flask-mailman-pyc-1.0.0-r1.apk25.4 KiB2024-04-16 00:00:03
py3-flask-flatpages-0.8.2-r2.apk10.8 KiB2024-04-16 00:00:03
py3-gls-1.3.1-r1.apk46.8 KiB2024-04-16 00:00:03
py3-flake8-polyfill-1.0.2-r4.apk5.9 KiB2024-04-16 00:00:03
py3-incoming-0.3.1-r7.apk12.2 KiB2024-04-16 00:00:03
py3-flake8-print-5.0.0-r5.apk6.7 KiB2024-04-16 00:00:03
py3-flake8-polyfill-pyc-1.0.2-r4.apk5.7 KiB2024-04-16 00:00:03
py3-flake8-snippets-0.2-r8.apk5.3 KiB2024-04-16 00:00:03
py3-html5-parser-pyc-0.4.12-r1.apk22.3 KiB2024-04-16 00:00:03
py3-halo-pyc-0.0.31-r4.apk13.9 KiB2024-04-16 00:00:03
py3-itemadapter-pyc-0.8.0-r2.apk12.7 KiB2024-04-16 00:00:03
py3-junit-xml-1.9-r2.apk7.5 KiB2024-04-16 00:00:03
py3-flask-migrate-pyc-4.0.5-r1.apk18.2 KiB2024-04-16 00:00:03
py3-landlock-1.0.0_pre4-r2.apk8.4 KiB2024-04-16 00:00:03
py3-flask-autorouter-pyc-0.2.2-r3.apk5.0 KiB2024-04-16 00:00:03
py3-flask-mailman-1.0.0-r1.apk16.3 KiB2024-04-16 00:00:03
py3-imdbpy-2021.4.18-r4.apk219.5 KiB2024-04-16 00:00:03
py3-git-versioner-pyc-7.1-r1.apk13.5 KiB2024-04-16 00:00:03
py3-freetype-py-2.4.0-r1.apk154.4 KiB2024-04-16 00:00:03
py3-intervals-0.9.2-r4.apk8.4 KiB2024-04-16 00:00:03
py3-flask-paginate-pyc-0.8.1-r6.apk11.2 KiB2024-04-16 00:00:03
py3-flask-components-pyc-0.1.1-r9.apk3.3 KiB2024-04-16 00:00:03
py3-flask-headers-pyc-1.0-r9.apk2.5 KiB2024-04-16 00:00:03
py3-flake8-import-order-0.18.2-r4.apk15.4 KiB2024-04-16 00:00:03
py3-flake8-builtins-2.1.0-r3.apk14.0 KiB2024-04-16 00:00:03
py3-flask-peewee-pyc-3.0.4-r6.apk95.4 KiB2024-04-16 00:00:03
py3-flask-bootstrap-pyc-3.3.7.1-r8.apk10.9 KiB2024-04-16 00:00:03
py3-flask-httpauth-4.8.0-r2.apk8.0 KiB2024-04-16 00:00:03
py3-flask-migrate-4.0.5-r1.apk13.6 KiB2024-04-16 00:00:03
py3-fpdf-pyc-1.7.2-r5.apk89.2 KiB2024-04-16 00:00:03
py3-flask-admin-1.6.1-r3.apk6.5 MiB2024-04-16 00:00:03
py3-github3-pyc-4.0.1-r1.apk227.0 KiB2024-04-16 00:00:03
py3-infinity-pyc-1.5-r5.apk3.8 KiB2024-04-16 00:00:03
py3-grequests-0.7.0-r1.apk5.3 KiB2024-04-16 00:00:03
py3-flask-accept-pyc-0.0.6-r1.apk3.7 KiB2024-04-16 00:00:03
py3-flask-components-0.1.1-r9.apk3.9 KiB2024-04-16 00:00:03
py3-flask-restaction-pyc-0.25.3-r8.apk19.8 KiB2024-04-16 00:00:03
py3-litex-hub-pythondata-cpu-cv32e40p-2023.12-r4.apk1.9 MiB2024-04-16 00:00:04
py3-linkify-it-py-pyc-2.0.3-r1.apk23.4 KiB2024-04-16 00:00:04
py3-litex-hub-pythondata-cpu-blackparrot-2023.12-r4.apk5.6 MiB2024-04-16 00:00:04
py3-lib_users-pyc-0.15-r3.apk9.5 KiB2024-04-16 00:00:04
py3-latex2mathml-3.77.0-r1.apk72.3 KiB2024-04-16 00:00:04
py3-libnacl-pyc-2.1.0-r1.apk30.3 KiB2024-04-16 00:00:04
py3-language-data-1.1-r1.apk4.5 MiB2024-04-16 00:00:04
py3-librtmp-0.3.0-r6.apk32.5 KiB2024-04-16 00:00:04
py3-libiio-0.25-r1.apk12.6 KiB2024-04-16 00:00:04
py3-litex-hub-modules-pyc-2023.12-r4.apk1.1 MiB2024-04-16 00:00:04
py3-liblarch-pyc-3.2.0-r4.apk50.1 KiB2024-04-16 00:00:04
py3-libnacl-2.1.0-r1.apk20.3 KiB2024-04-16 00:00:04
py3-latex2mathml-pyc-3.77.0-r1.apk34.9 KiB2024-04-16 00:00:04
py3-liblarch-3.2.0-r4.apk26.8 KiB2024-04-16 00:00:04
py3-librtmp-pyc-0.3.0-r6.apk24.6 KiB2024-04-16 00:00:04
py3-litex-hub-modules-2023.12-r4.apk1.6 KiB2024-04-16 00:00:04
py3-language-data-pyc-1.1-r1.apk2.7 MiB2024-04-16 00:00:04
py3-libguestfs-1.52.0-r1.apk174.6 KiB2024-04-16 00:00:04
py3-linkify-it-py-2.0.3-r1.apk21.3 KiB2024-04-16 00:00:04
py3-libacl-0.7.0-r1.apk15.3 KiB2024-04-16 00:00:04
py3-lib_users-0.15-r3.apk8.3 KiB2024-04-16 00:00:04
py3-litex-hub-pythondata-cpu-cv32e41p-2023.12-r4.apk934.2 KiB2024-04-16 00:00:05
py3-litex-hub-pythondata-cpu-marocchino-2023.12-r4.apk207.9 KiB2024-04-16 00:00:05
py3-litex-hub-pythondata-cpu-ibex-2023.12-r4.apk1.8 MiB2024-04-16 00:00:05
py3-litex-hub-pythondata-cpu-cva5-2023.12-r4.apk500.1 KiB2024-04-16 00:00:05
py3-litex-hub-pythondata-cpu-lm32-2023.12-r4.apk107.8 KiB2024-04-16 00:00:05
py3-litex-hub-pythondata-cpu-cva6-2023.12-r4.apk10.1 MiB2024-04-16 00:00:05
py3-litex-hub-pythondata-cpu-naxriscv-2023.12-r4.apk7.6 KiB2024-04-16 00:00:06
py3-litex-hub-pythondata-cpu-mor1kx-2023.12-r4.apk179.2 KiB2024-04-16 00:00:06
py3-litex-hub-pythondata-cpu-minerva-2023.12-r4.apk44.9 KiB2024-04-16 00:00:06
py3-litex-hub-pythondata-cpu-microwatt-2023.12-r4.apk19.5 MiB2024-04-16 00:00:06
py3-litex-hub-pythondata-cpu-picorv32-2023.12-r4.apk228.0 KiB2024-04-16 00:00:06
py3-litex-hub-pythondata-cpu-vexriscv_smp-2023.12-r4.apk2.4 MiB2024-04-16 00:00:07
py3-marshmallow-enum-pyc-1.5.1-r7.apk4.5 KiB2024-04-16 00:00:07
py3-log-symbols-0.0.14-r4.apk3.3 KiB2024-04-16 00:00:07
py3-litex-hub-pythondata-misc-tapcfg-2023.12-r4.apk49.0 KiB2024-04-16 00:00:07
py3-litex-hub-valentyusb-2023.12-r4.apk111.5 KiB2024-04-16 00:00:07
py3-mopidy-local-3.2.1-r3.apk22.5 KiB2024-04-16 00:00:07
py3-migen-0.9.2-r2.apk142.6 KiB2024-04-16 00:00:07
py3-manuel-1.12.4-r2.apk34.1 KiB2024-04-16 00:00:07
py3-milc-1.8.0-r1.apk24.9 KiB2024-04-16 00:00:07
py3-marisa-trie-1.1.0-r0.apk126.0 KiB2024-04-16 00:00:07
py3-mopidy-mpd-3.3.0-r4.apk46.0 KiB2024-04-16 00:00:07
py3-ly-0.9.8-r1.apk187.2 KiB2024-04-16 00:00:07
py3-litex-hub-pythondata-software-compiler_rt-2023.12-r4.apk2.2 MiB2024-04-16 00:00:07
py3-minio-pyc-7.2.0-r1.apk137.5 KiB2024-04-16 00:00:07
py3-marshmallow-enum-1.5.1-r7.apk5.3 KiB2024-04-16 00:00:07
py3-ly-doc-0.9.8-r1.apk8.1 KiB2024-04-16 00:00:07
py3-litex-hub-pythondata-cpu-rocket-2023.12-r4.apk10.7 MiB2024-04-16 00:00:07
py3-mando-pyc-0.7.1-r2.apk36.1 KiB2024-04-16 00:00:07
py3-mistletoe-1.2.1-r1.apk42.0 KiB2024-04-16 00:00:07
py3-modbus-tk-1.1.1-r3.apk24.2 KiB2024-04-16 00:00:07
py3-lsp-mypy-pyc-0.6.8-r1.apk11.2 KiB2024-04-16 00:00:07
py3-litex-hub-pythondata-software-picolibc-2023.12-r4.apk4.7 MiB2024-04-16 00:00:07
py3-minidb-pyc-2.0.7-r3.apk23.6 KiB2024-04-16 00:00:07
py3-lsp-black-2.0.0-r1.apk7.5 KiB2024-04-16 00:00:07
py3-manuel-pyc-1.12.4-r2.apk26.1 KiB2024-04-16 00:00:07
py3-minidb-2.0.7-r3.apk9.9 KiB2024-04-16 00:00:07
py3-modbus-tk-pyc-1.1.1-r3.apk48.5 KiB2024-04-16 00:00:07
py3-mitmproxy-rs-0.5.1-r0.apk593.6 KiB2024-04-16 00:00:07
py3-migen-pyc-0.9.2-r2.apk295.6 KiB2024-04-16 00:00:07
py3-lsp-black-pyc-2.0.0-r1.apk6.4 KiB2024-04-16 00:00:07
py3-mopidy-local-pyc-3.2.1-r3.apk34.2 KiB2024-04-16 00:00:07
py3-minidump-0.0.23-r1.apk63.4 KiB2024-04-16 00:00:07
py3-m2crypto-0.41.0-r1.apk185.6 KiB2024-04-16 00:00:07
py3-log-symbols-pyc-0.0.14-r4.apk3.1 KiB2024-04-16 00:00:07
py3-minio-7.2.0-r1.apk68.4 KiB2024-04-16 00:00:07
py3-milc-pyc-1.8.0-r1.apk37.7 KiB2024-04-16 00:00:07
py3-mitmproxy-rs-pyc-0.5.1-r0.apk3.1 KiB2024-04-16 00:00:07
py3-mistletoe-pyc-1.2.1-r1.apk91.6 KiB2024-04-16 00:00:07
py3-luhn-0.2.0-r8.apk3.0 KiB2024-04-16 00:00:07
py3-lsprotocol-2023.0.1-r1.apk69.5 KiB2024-04-16 00:00:07
py3-minikerberos-0.4.4-r1.apk128.6 KiB2024-04-16 00:00:07
py3-minikerberos-pyc-0.4.4-r1.apk263.6 KiB2024-04-16 00:00:07
py3-luhn-pyc-0.2.0-r8.apk2.6 KiB2024-04-16 00:00:07
py3-litex-hub-pythondata-cpu-serv-2023.12-r4.apk65.0 KiB2024-04-16 00:00:07
py3-mando-0.7.1-r2.apk20.6 KiB2024-04-16 00:00:07
py3-lzo-1.16-r1.apk16.2 KiB2024-04-16 00:00:07
py3-lunr-0.6.2-r3.apk30.7 KiB2024-04-16 00:00:07
py3-litex-hub-pythondata-cpu-vexriscv-2023.12-r4.apk348.4 KiB2024-04-16 00:00:07
py3-mopidy-jellyfin-pyc-1.0.4-r3.apk36.7 KiB2024-04-16 00:00:07
py3-mopidy-jellyfin-1.0.4-r3.apk20.2 KiB2024-04-16 00:00:07
py3-lsprotocol-pyc-2023.0.1-r1.apk107.1 KiB2024-04-16 00:00:07
py3-markdown2-pyc-2.4.13-r1.apk62.2 KiB2024-04-16 00:00:07
py3-lzo-pyc-1.16-r1.apk2.0 KiB2024-04-16 00:00:07
py3-m2crypto-pyc-0.41.0-r1.apk122.6 KiB2024-04-16 00:00:07
py3-minidump-pyc-0.0.23-r1.apk126.7 KiB2024-04-16 00:00:07
py3-ly-pyc-0.9.8-r1.apk355.1 KiB2024-04-16 00:00:07
py3-lsp-mypy-0.6.8-r1.apk11.6 KiB2024-04-16 00:00:07
py3-markdown2-2.4.13-r1.apk41.6 KiB2024-04-16 00:00:07
py3-lunr-pyc-0.6.2-r3.apk50.6 KiB2024-04-16 00:00:07
py3-mando-doc-0.7.1-r2.apk4.2 KiB2024-04-16 00:00:07
py3-nmap-pyc-0.7.1-r3.apk25.5 KiB2024-04-16 00:00:08
py3-nanoid-pyc-2.0.0-r2.apk3.9 KiB2024-04-16 00:00:08
py3-more-properties-pyc-1.1.1-r3.apk8.2 KiB2024-04-16 00:00:08
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk10.1 KiB2024-04-16 00:00:08
py3-msldap-0.5.10-r1.apk137.6 KiB2024-04-16 00:00:08
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk10.4 KiB2024-04-16 00:00:08
py3-ovos-ocp-files-plugin-0.13.0-r1.apk47.1 KiB2024-04-16 00:00:08
py3-ovos-phal-plugin-network-manager-1.1.0-r1.apk10.9 KiB2024-04-16 00:00:08
py3-ovos-phal-plugin-connectivity-events-0.0.3-r1.apk5.0 KiB2024-04-16 00:00:08
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk6.8 KiB2024-04-16 00:00:08
py3-ovos-ocp-news-plugin-0.0.3-r1.apk11.4 KiB2024-04-16 00:00:08
py3-parse-pyc-1.20.0-r1.apk18.2 KiB2024-04-16 00:00:08
py3-mpd2-3.1.1-r1.apk30.3 KiB2024-04-16 00:00:08
py3-pathvalidate-3.2.0-r1.apk18.9 KiB2024-04-16 00:00:08
py3-ntplib-pyc-0.4.0-r4.apk8.6 KiB2024-04-16 00:00:08
py3-pbkdf2-1.3-r6.apk6.1 KiB2024-04-16 00:00:08
py3-nose-timer-pyc-1.0.1-r5.apk9.9 KiB2024-04-16 00:00:08
py3-natpmp-pyc-1.3.2-r1.apk9.9 KiB2024-04-16 00:00:08
py3-msldap-pyc-0.5.10-r1.apk312.1 KiB2024-04-16 00:00:08
py3-parver-0.5-r1.apk15.8 KiB2024-04-16 00:00:08
py3-nwdiag-3.0.0-r2.apk4.9 MiB2024-04-16 00:00:08
py3-pacparser-1.4.3-r1.apk368.3 KiB2024-04-16 00:00:08
py3-ovos-phal-plugin-oauth-pyc-0.0.2-r1.apk8.1 KiB2024-04-16 00:00:08
py3-netmiko-pyc-4.3.0-r1.apk321.5 KiB2024-04-16 00:00:08
py3-mopidy-mpd-pyc-3.3.0-r4.apk73.8 KiB2024-04-16 00:00:08
py3-mopidy-tidal-pyc-0.3.2-r5.apk35.6 KiB2024-04-16 00:00:08
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk4.5 KiB2024-04-16 00:00:08
py3-pacparser-pyc-1.4.3-r1.apk4.1 KiB2024-04-16 00:00:08
py3-optuna-pyc-3.6.1-r1.apk572.6 KiB2024-04-16 00:00:08
py3-ovos-phal-plugin-oauth-0.0.2-r1.apk7.6 KiB2024-04-16 00:00:08
py3-pam-2.0.2-r2.apk11.2 KiB2024-04-16 00:00:08
py3-optuna-3.6.1-r1.apk292.0 KiB2024-04-16 00:00:08
py3-ovos-ocp-rss-plugin-pyc-0.0.2-r1.apk3.7 KiB2024-04-16 00:00:08
py3-nanoid-2.0.0-r2.apk4.9 KiB2024-04-16 00:00:08
py3-more-properties-1.1.1-r3.apk7.4 KiB2024-04-16 00:00:08
py3-opendht-3.1.7-r2.apk143.0 KiB2024-04-16 00:00:08
py3-orderedmultidict-1.0.1-r6.apk10.7 KiB2024-04-16 00:00:08
py3-notifymail-pyc-1.1-r7.apk5.8 KiB2024-04-16 00:00:08
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk4.4 KiB2024-04-16 00:00:08
py3-netmiko-4.3.0-r1.apk163.9 KiB2024-04-16 00:00:08
py3-ovos-phal-plugin-network-manager-pyc-1.1.0-r1.apk8.8 KiB2024-04-16 00:00:08
py3-ovos-ocp-rss-plugin-0.0.2-r1.apk8.3 KiB2024-04-16 00:00:08
py3-nwdiag-pyc-3.0.0-r2.apk77.9 KiB2024-04-16 00:00:08
py3-ovos-phal-plugin-system-0.0.4-r1.apk10.5 KiB2024-04-16 00:00:08
py3-ovos-ocp-news-plugin-pyc-0.0.3-r1.apk8.9 KiB2024-04-16 00:00:08
py3-ncclient-pyc-0.6.13-r4.apk106.7 KiB2024-04-16 00:00:08
py3-openssh-wrapper-0.5_git20130425-r4.apk8.2 KiB2024-04-16 00:00:08
py3-mpd2-pyc-3.1.1-r1.apk57.3 KiB2024-04-16 00:00:08
py3-ovos-ocp-files-plugin-pyc-0.13.0-r1.apk103.7 KiB2024-04-16 00:00:08
py3-ovos-microphone-plugin-alsa-pyc-0.0.0-r1.apk4.7 KiB2024-04-16 00:00:08
py3-parse-1.20.0-r1.apk20.1 KiB2024-04-16 00:00:08
py3-ovos-phal-plugin-connectivity-events-pyc-0.0.3-r1.apk5.1 KiB2024-04-16 00:00:08
py3-parver-pyc-0.5-r1.apk26.0 KiB2024-04-16 00:00:08
py3-natpmp-1.3.2-r1.apk9.4 KiB2024-04-16 00:00:08
py3-ntplib-0.4.0-r4.apk7.1 KiB2024-04-16 00:00:08
py3-openapi-codec-1.3.2-r9.apk7.7 KiB2024-04-16 00:00:08
py3-notifymail-1.1-r7.apk6.5 KiB2024-04-16 00:00:08
py3-onelogin-pyc-3.1.6-r1.apk899.9 KiB2024-04-16 00:00:08
py3-openapi-codec-pyc-1.3.2-r9.apk11.7 KiB2024-04-16 00:00:08
py3-ovos-phal-plugin-system-pyc-0.0.4-r1.apk9.1 KiB2024-04-16 00:00:08
py3-onelogin-3.1.6-r1.apk393.9 KiB2024-04-16 00:00:08
py3-nmap-0.7.1-r3.apk20.1 KiB2024-04-16 00:00:08
py3-orderedmultidict-pyc-1.0.1-r6.apk17.2 KiB2024-04-16 00:00:08
py3-pbkdf2-pyc-1.3-r6.apk7.1 KiB2024-04-16 00:00:08
py3-mopidy-tidal-0.3.2-r5.apk20.3 KiB2024-04-16 00:00:08
py3-pam-pyc-2.0.2-r2.apk13.0 KiB2024-04-16 00:00:08
py3-ovos-microphone-plugin-alsa-0.0.0-r1.apk8.7 KiB2024-04-16 00:00:08
py3-nose-timer-1.0.1-r5.apk8.4 KiB2024-04-16 00:00:08
py3-pathvalidate-pyc-3.2.0-r1.apk32.3 KiB2024-04-16 00:00:08
py3-ncclient-0.6.13-r4.apk62.4 KiB2024-04-16 00:00:08
py3-rfc3987-1.3.8-r5.apk8.9 KiB2024-04-16 00:00:09
py3-sphinxcontrib-programoutput-0.17-r4.apk15.9 KiB2024-04-16 00:00:09
py3-preggy-pyc-1.4.4-r4.apk24.0 KiB2024-04-16 00:00:09
py3-sphinxcontrib-gravatar-pyc-0.1.2-r7.apk7.6 KiB2024-04-16 00:00:09
py3-pprintpp-0.4.0-r1.apk13.9 KiB2024-04-16 00:00:09
py3-sphinx-theme-better-0.1.5-r6.apk9.1 KiB2024-04-16 00:00:09
py3-rich-click-1.7.3-r1.apk30.7 KiB2024-04-16 00:00:09
py3-sortedcollections-pyc-2.1.0-r4.apk13.9 KiB2024-04-16 00:00:09
py3-pysrt-1.1.2-r3.apk13.6 KiB2024-04-16 00:00:09
py3-pebble-pyc-5.0.7-r1.apk42.7 KiB2024-04-16 00:00:09
py3-radon-pyc-6.0.1-r1.apk49.7 KiB2024-04-16 00:00:09
py3-proxmoxer-2.0.1-r3.apk14.7 KiB2024-04-16 00:00:09
py3-python-stdnum-1.19-r1.apk736.5 KiB2024-04-16 00:00:09
py3-publicsuffix2-pyc-2.20191221-r5.apk8.3 KiB2024-04-16 00:00:09
py3-qasync-0.19.0-r1.apk35.7 KiB2024-04-16 00:00:09
py3-pymata4-1.15-r3.apk22.8 KiB2024-04-16 00:00:09
py3-pymsgbox-pyc-1.0.9-r4.apk9.9 KiB2024-04-16 00:00:09
py3-sphinxcontrib-sqltable-2.0.0-r8.apk7.3 KiB2024-04-16 00:00:09
py3-pytest-regtest-pyc-2.1.1-r1.apk10.9 KiB2024-04-16 00:00:09
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk4.2 KiB2024-04-16 00:00:09
py3-pyte-0.8.2-r1.apk27.1 KiB2024-04-16 00:00:09
py3-pyautogui-pyc-0.9.53-r4.apk45.4 KiB2024-04-16 00:00:09
py3-spotipy-2.23.0-r2.apk27.8 KiB2024-04-16 00:00:09
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk3.5 KiB2024-04-16 00:00:09
py3-pymaging-0.0.20130908-r9.apk16.6 KiB2024-04-16 00:00:09
py3-pysrt-pyc-1.1.2-r3.apk23.0 KiB2024-04-16 00:00:09
py3-pytaglib-1.5.0-r3.apk32.6 KiB2024-04-16 00:00:09
py3-scs-pyc-3.2.3-r3.apk4.9 KiB2024-04-16 00:00:09
py3-scrapy-pyc-2.11.1-r1.apk482.0 KiB2024-04-16 00:00:09
py3-sphinx-theme-bw-0.1.8-r7.apk64.8 KiB2024-04-16 00:00:09
py3-proglog-pyc-0.1.10-r2.apk9.9 KiB2024-04-16 00:00:09
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk20.5 KiB2024-04-16 00:00:09
py3-scrapy-2.11.1-r1.apk240.0 KiB2024-04-16 00:00:09
py3-py-radix-pyc-0.10.0-r9.apk10.6 KiB2024-04-16 00:00:09
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk3.1 KiB2024-04-16 00:00:09
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk7.5 KiB2024-04-16 00:00:09
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk2.2 KiB2024-04-16 00:00:09
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk9.1 KiB2024-04-16 00:00:09
py3-sphinxcontrib-manpage-0.6-r8.apk4.1 KiB2024-04-16 00:00:09
py3-rst-0.1-r8.apk5.7 KiB2024-04-16 00:00:09
py3-sphinxcontrib-git-11.0.0-r7.apk17.1 KiB2024-04-16 00:00:09
py3-pdal-3.2.3-r4.apk149.2 KiB2024-04-16 00:00:09
py3-pyvcd-0.4.0-r1.apk23.0 KiB2024-04-16 00:00:09
py3-pytaglib-pyc-1.5.0-r3.apk3.0 KiB2024-04-16 00:00:09
py3-sphinxcontrib-cacoo-2.0.0-r7.apk5.7 KiB2024-04-16 00:00:09
py3-pymaging-png-pyc-0.0.20130727-r9.apk52.0 KiB2024-04-16 00:00:09
py3-pystache-0.6.5-r1.apk68.1 KiB2024-04-16 00:00:09
py3-pyvcd-pyc-0.4.0-r1.apk40.3 KiB2024-04-16 00:00:09
py3-sphinx-theme-epfl-1.1.1-r9.apk29.9 KiB2024-04-16 00:00:09
py3-rosdistro-pyc-0.9.0-r3.apk91.3 KiB2024-04-16 00:00:09
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk33.9 KiB2024-04-16 00:00:09
py3-simplematch-1.4-r1.apk8.0 KiB2024-04-16 00:00:09
py3-sphinxcontrib-issuetracker-0.11-r7.apk11.1 KiB2024-04-16 00:00:09
py3-seqdiag-3.0.0-r5.apk2.5 MiB2024-04-16 00:00:09
py3-pip-system-certs-pyc-4.0-r1.apk4.7 KiB2024-04-16 00:00:09
py3-qt.py-1.3.10-r0.apk30.9 KiB2024-04-16 00:00:09
py3-pysonic-pyc-1.0.1-r1.apk31.9 KiB2024-04-16 00:00:09
py3-rtree-pyc-1.1.0-r1.apk43.9 KiB2024-04-16 00:00:09
py3-pytest-html-pyc-4.1.1-r1.apk21.8 KiB2024-04-16 00:00:09
py3-preggy-1.4.4-r4.apk16.3 KiB2024-04-16 00:00:09
py3-publicsuffix2-2.20191221-r5.apk80.6 KiB2024-04-16 00:00:09
py3-proplot-0.9.7-r2.apk133.6 KiB2024-04-16 00:00:09
py3-riotctrl-pyc-0.5.0-r3.apk10.7 KiB2024-04-16 00:00:09
py3-sphinxcontrib-spelling-8.0.0-r3.apk15.3 KiB2024-04-16 00:00:09
py3-rabbit-pyc-1.1.0-r7.apk15.5 KiB2024-04-16 00:00:09
py3-rospkg-1.2.9-r5.apk28.8 KiB2024-04-16 00:00:09
py3-rtree-1.1.0-r1.apk24.2 KiB2024-04-16 00:00:09
py3-pyspinel-pyc-1.0.3-r1.apk63.0 KiB2024-04-16 00:00:09
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk8.7 KiB2024-04-16 00:00:09
py3-recurring-ical-events-pyc-2.2.1-r1.apk15.9 KiB2024-04-16 00:00:09
py3-soappy-0.52.28-r2.apk47.0 KiB2024-04-16 00:00:09
py3-sphinxcontrib-gist-0.1.0-r9.apk3.9 KiB2024-04-16 00:00:09
py3-pymeta3-0.5.1-r5.apk15.4 KiB2024-04-16 00:00:09
py3-spinners-pyc-0.0.24-r4.apk6.3 KiB2024-04-16 00:00:09
py3-rpio-pyc-0.10.1-r7.apk16.0 KiB2024-04-16 00:00:09
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk4.1 KiB2024-04-16 00:00:09
py3-setuptools-lint-pyc-0.6.0-r9.apk6.0 KiB2024-04-16 00:00:09
py3-pymsteams-0.2.2-r3.apk7.7 KiB2024-04-16 00:00:09
py3-sphinxcontrib-gravatar-0.1.2-r7.apk6.7 KiB2024-04-16 00:00:09
py3-rospkg-pyc-1.2.9-r5.apk54.1 KiB2024-04-16 00:00:09
py3-sphinxcontrib-inheritance-0.9.0-r9.apk10.5 KiB2024-04-16 00:00:09
py3-pebble-5.0.7-r1.apk21.4 KiB2024-04-16 00:00:09
py3-playsound-1.3.0-r1.apk6.9 KiB2024-04-16 00:00:09
py3-pytest-subprocess-pyc-1.5.0-r2.apk24.5 KiB2024-04-16 00:00:09
py3-python-stdnum-pyc-1.19-r1.apk290.6 KiB2024-04-16 00:00:09
py3-qpageview-pyc-0.6.2-r1.apk180.2 KiB2024-04-16 00:00:09
py3-soapy_power-1.6.1-r4.apk16.7 KiB2024-04-16 00:00:09
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk21.4 KiB2024-04-16 00:00:09
py3-schema-pyc-0.7.5-r3.apk18.3 KiB2024-04-16 00:00:09
py3-python-logstash-0.4.8-r3.apk7.5 KiB2024-04-16 00:00:09
py3-pymaging-pyc-0.0.20130908-r9.apk31.8 KiB2024-04-16 00:00:09
py3-rfc-bibtex-0.3.2-r6.apk11.6 KiB2024-04-16 00:00:09
py3-piccata-pyc-2.0.3-r1.apk34.2 KiB2024-04-16 00:00:09
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk11.2 KiB2024-04-16 00:00:09
py3-proxmoxer-pyc-2.0.1-r3.apk21.6 KiB2024-04-16 00:00:09
py3-pybars3-pyc-0.9.7-r5.apk16.9 KiB2024-04-16 00:00:09
py3-pytweening-pyc-1.2.0-r2.apk9.0 KiB2024-04-16 00:00:09
py3-sortedcollections-2.1.0-r4.apk10.3 KiB2024-04-16 00:00:09
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk1.8 KiB2024-04-16 00:00:09
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk7.6 KiB2024-04-16 00:00:09
py3-schema-0.7.5-r3.apk17.7 KiB2024-04-16 00:00:09
py3-pylru-1.2.1-r1.apk16.6 KiB2024-04-16 00:00:09
py3-sphinxcontrib-adadomain-0.2-r9.apk9.0 KiB2024-04-16 00:00:09
py3-recurring-ical-events-2.2.1-r1.apk18.9 KiB2024-04-16 00:00:09
py3-spinners-0.0.24-r4.apk5.7 KiB2024-04-16 00:00:09
py3-rosdistro-0.9.0-r3.apk47.1 KiB2024-04-16 00:00:09
py3-sphinx-argparse-0.4.0-r3.apk14.3 KiB2024-04-16 00:00:09
py3-quebra-frases-0.3.7-r1.apk8.8 KiB2024-04-16 00:00:09
py3-pygpgme-0.3.1-r8.apk25.2 KiB2024-04-16 00:00:09
py3-sphinxcontrib-phpdomain-pyc-0.11.2-r1.apk16.8 KiB2024-04-16 00:00:09
py3-quebra-frases-pyc-0.3.7-r1.apk7.8 KiB2024-04-16 00:00:09
py3-pymeta3-pyc-0.5.1-r5.apk31.6 KiB2024-04-16 00:00:09
py3-sphinx-theme-guzzle-0.7.11-r6.apk2.4 MiB2024-04-16 00:00:09
py3-pytest-mypy-0.10.3-r2.apk8.1 KiB2024-04-16 00:00:09
py3-pyvows-pyc-3.0.0-r4.apk48.8 KiB2024-04-16 00:00:09
py3-sphinxcontrib-doxylink-pyc-1.12.2-r2.apk16.5 KiB2024-04-16 00:00:09
py3-pymata4-pyc-1.15-r3.apk30.9 KiB2024-04-16 00:00:09
py3-pyisbn-1.3.1-r2.apk8.6 KiB2024-04-16 00:00:09
py3-rabbit-1.1.0-r7.apk10.8 KiB2024-04-16 00:00:09
py3-rich-click-pyc-1.7.3-r1.apk40.3 KiB2024-04-16 00:00:09
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk15.1 KiB2024-04-16 00:00:09
py3-spnego-pyc-0.10.2-r1.apk219.1 KiB2024-04-16 00:00:09
py3-recommonmark-0.7.1-r3.apk11.6 KiB2024-04-16 00:00:09
py3-sh-pyc-2.0.6-r1.apk55.1 KiB2024-04-16 00:00:09
py3-sphinx-theme-bootstrap-0.8.1-r3.apk1.2 MiB2024-04-16 00:00:09
py3-simplesoapy-pyc-1.5.1-r6.apk11.8 KiB2024-04-16 00:00:09
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk42.8 KiB2024-04-16 00:00:09
py3-pep8-naming-pyc-0.13.3-r2.apk13.4 KiB2024-04-16 00:00:09
py3-pyautogui-0.9.53-r4.apk32.8 KiB2024-04-16 00:00:09
py3-sphinxcontrib-bitbucket-1.0-r8.apk5.7 KiB2024-04-16 00:00:09
py3-setuptools-declarative-requirements-pyc-1.3.0-r3.apk4.9 KiB2024-04-16 00:00:09
py3-queuelib-pyc-1.6.2-r4.apk24.6 KiB2024-04-16 00:00:09
py3-recommonmark-pyc-0.7.1-r3.apk17.5 KiB2024-04-16 00:00:09
py3-sphinxcontrib-slide-pyc-1.0.0-r3.apk5.5 KiB2024-04-16 00:00:09
py3-pyisbn-pyc-1.3.1-r2.apk9.4 KiB2024-04-16 00:00:09
py3-progressbar2-pyc-4.2.0-r2.apk42.5 KiB2024-04-16 00:00:09
py3-python-iptables-pyc-1.0.1-r1.apk67.9 KiB2024-04-16 00:00:09
py3-pygpgme-pyc-0.3.1-r8.apk5.1 KiB2024-04-16 00:00:09
py3-piccata-2.0.3-r1.apk20.1 KiB2024-04-16 00:00:09
py3-python-logstash-pyc-0.4.8-r3.apk8.4 KiB2024-04-16 00:00:09
py3-pysonic-1.0.1-r1.apk34.7 KiB2024-04-16 00:00:09
py3-riotctrl-0.5.0-r3.apk11.7 KiB2024-04-16 00:00:09
py3-rpio-0.10.1-r7.apk31.9 KiB2024-04-16 00:00:09
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk18.1 KiB2024-04-16 00:00:09
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk4.9 KiB2024-04-16 00:00:09
py3-sphinxcontrib-lassodomain-0.4-r8.apk7.9 KiB2024-04-16 00:00:09
py3-redmine-pyc-2.4.0-r3.apk51.6 KiB2024-04-16 00:00:09
py3-seqdiag-pyc-3.0.0-r5.apk42.2 KiB2024-04-16 00:00:09
py3-pyvows-3.0.0-r4.apk26.3 KiB2024-04-16 00:00:09
py3-pure_protobuf-3.0.1-r2.apk21.1 KiB2024-04-16 00:00:09
py3-pymata-pyc-2.20-r3.apk29.4 KiB2024-04-16 00:00:09
py3-shodan-1.31.0-r1.apk44.1 KiB2024-04-16 00:00:09
py3-soapy_power-pyc-1.6.1-r4.apk26.5 KiB2024-04-16 00:00:09
py3-pip-system-certs-4.0-r1.apk7.0 KiB2024-04-16 00:00:09
py3-requests-kerberos-0.14.0-r4.apk11.9 KiB2024-04-16 00:00:09
py3-progressbar2-4.2.0-r2.apk27.7 KiB2024-04-16 00:00:09
py3-py-radix-0.10.0-r9.apk17.0 KiB2024-04-16 00:00:09
py3-pytest-helpers-namespace-pyc-2021.12.29-r3.apk6.2 KiB2024-04-16 00:00:09
py3-pdal-pyc-3.2.3-r4.apk11.3 KiB2024-04-16 00:00:09
py3-pyrebase-3.0.27-r4.apk9.3 KiB2024-04-16 00:00:09
py3-sphinxcontrib-restbuilder-0.3-r6.apk11.3 KiB2024-04-16 00:00:09
py3-proplot-pyc-0.9.7-r2.apk224.8 KiB2024-04-16 00:00:09
py3-phpserialize-pyc-1.3-r7.apk10.7 KiB2024-04-16 00:00:09
py3-pure_protobuf-pyc-3.0.1-r2.apk37.6 KiB2024-04-16 00:00:09
py3-sphinx-theme-guzzle-pyc-0.7.11-r6.apk5.5 KiB2024-04-16 00:00:09
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk4.3 KiB2024-04-16 00:00:09
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk12.0 KiB2024-04-16 00:00:09
py3-pyparted-3.13.0-r1.apk74.0 KiB2024-04-16 00:00:09
py3-pycosat-0.6.6-r1.apk40.8 KiB2024-04-16 00:00:09
py3-sphinx-argparse-pyc-0.4.0-r3.apk21.6 KiB2024-04-16 00:00:09
py3-requests-wsgi-adapter-0.4.1-r1.apk5.5 KiB2024-04-16 00:00:09
py3-pymsgbox-1.0.9-r4.apk7.8 KiB2024-04-16 00:00:09
py3-python-archive-pyc-0.2-r6.apk9.4 KiB2024-04-16 00:00:09
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk6.6 KiB2024-04-16 00:00:09
py3-proglog-0.1.10-r2.apk7.4 KiB2024-04-16 00:00:09
py3-rst-pyc-0.1-r8.apk6.1 KiB2024-04-16 00:00:09
py3-simplespectral-1.0.0-r4.apk6.6 KiB2024-04-16 00:00:09
py3-shodan-doc-1.31.0-r1.apk7.2 KiB2024-04-16 00:00:09
py3-simber-pyc-0.2.6-r3.apk16.4 KiB2024-04-16 00:00:09
py3-sphinx-theme-bootstrap-pyc-0.8.1-r3.apk2.4 KiB2024-04-16 00:00:09
py3-pyparted-pyc-3.13.0-r1.apk42.2 KiB2024-04-16 00:00:09
py3-shodan-pyc-1.31.0-r1.apk79.2 KiB2024-04-16 00:00:09
py3-protego-0.3.0-r1.apk33.2 KiB2024-04-16 00:00:09
py3-pelican-pyc-4.9.1-r2.apk147.3 KiB2024-04-16 00:00:09
py3-scour-pyc-0.38.2-r1.apk73.8 KiB2024-04-16 00:00:09
py3-sphinx-theme-cloud-1.10.0-r2.apk81.3 KiB2024-04-16 00:00:09
py3-pika-pyc-1.3.2-r1.apk245.9 KiB2024-04-16 00:00:09
py3-pytest-regtest-2.1.1-r1.apk10.0 KiB2024-04-16 00:00:09
py3-preggy-doc-1.4.4-r4.apk4.0 KiB2024-04-16 00:00:09
py3-radon-doc-6.0.1-r1.apk5.2 KiB2024-04-16 00:00:09
py3-pyrebase-pyc-3.0.27-r4.apk17.6 KiB2024-04-16 00:00:09
py3-pylru-pyc-1.2.1-r1.apk8.9 KiB2024-04-16 00:00:09
py3-setuptools-lint-0.6.0-r9.apk5.4 KiB2024-04-16 00:00:09
py3-python-archive-0.2-r6.apk6.4 KiB2024-04-16 00:00:09
py3-pytest-html-4.1.1-r1.apk21.6 KiB2024-04-16 00:00:09
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk9.3 KiB2024-04-16 00:00:09
py3-pytest-metadata-pyc-3.1.1-r0.apk7.9 KiB2024-04-16 00:00:09
py3-pockethernet-0.7.0-r3.apk14.7 KiB2024-04-16 00:00:09
py3-pep8-naming-0.13.3-r2.apk8.6 KiB2024-04-16 00:00:09
py3-pysimplesoap-1.16.2-r6.apk43.0 KiB2024-04-16 00:00:09
py3-spotipy-pyc-2.23.0-r2.apk48.5 KiB2024-04-16 00:00:09
py3-pyatem-pyc-0.5.0-r3.apk91.8 KiB2024-04-16 00:00:09
py3-rstr-3.2.2-r3.apk9.9 KiB2024-04-16 00:00:09
py3-pytweening-1.2.0-r2.apk9.0 KiB2024-04-16 00:00:09
py3-pyscreeze-0.1.29-r2.apk12.7 KiB2024-04-16 00:00:09
py3-sphinxcontrib-phpdomain-0.11.2-r1.apk11.2 KiB2024-04-16 00:00:09
py3-sstash-0.17-r8.apk7.5 KiB2024-04-16 00:00:09
py3-simplematch-pyc-1.4-r1.apk5.8 KiB2024-04-16 00:00:09
py3-setuptools-declarative-requirements-1.3.0-r3.apk9.7 KiB2024-04-16 00:00:09
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk15.0 KiB2024-04-16 00:00:09
py3-pystache-pyc-0.6.5-r1.apk96.7 KiB2024-04-16 00:00:09
py3-scour-0.38.2-r1.apk56.4 KiB2024-04-16 00:00:09
py3-pelican-4.9.1-r2.apk233.7 KiB2024-04-16 00:00:09
py3-rapidjson-1.12-r1.apk116.6 KiB2024-04-16 00:00:09
py3-soappy-pyc-0.52.28-r2.apk96.1 KiB2024-04-16 00:00:09
py3-simber-0.2.6-r3.apk11.0 KiB2024-04-16 00:00:09
py3-pika-1.3.2-r1.apk143.1 KiB2024-04-16 00:00:09
py3-simplespectral-pyc-1.0.0-r4.apk8.1 KiB2024-04-16 00:00:09
py3-sphinxcontrib-doxylink-1.12.2-r2.apk12.5 KiB2024-04-16 00:00:09
py3-pyroma-4.2-r0.apk21.9 KiB2024-04-16 00:00:09
py3-simplesoapy-1.5.1-r6.apk6.9 KiB2024-04-16 00:00:09
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk5.7 KiB2024-04-16 00:00:09
py3-queuelib-1.6.2-r4.apk12.5 KiB2024-04-16 00:00:09
py3-poppler-qt5-21.3.0-r1.apk111.8 KiB2024-04-16 00:00:09
py3-pyvows-doc-3.0.0-r4.apk2.1 KiB2024-04-16 00:00:09
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk9.2 KiB2024-04-16 00:00:09
py3-pymsteams-pyc-0.2.2-r3.apk6.6 KiB2024-04-16 00:00:09
py3-sstash-pyc-0.17-r8.apk10.3 KiB2024-04-16 00:00:09
py3-pysimplesoap-pyc-1.16.2-r6.apk78.2 KiB2024-04-16 00:00:09
py3-rfc-bibtex-pyc-0.3.2-r6.apk12.5 KiB2024-04-16 00:00:09
py3-pytest-helpers-namespace-2021.12.29-r3.apk10.9 KiB2024-04-16 00:00:09
py3-sphinx-theme-readable-1.3.0-r9.apk8.8 KiB2024-04-16 00:00:09
py3-pyspinel-1.0.3-r1.apk56.0 KiB2024-04-16 00:00:09
py3-pytest-mypy-pyc-0.10.3-r2.apk9.6 KiB2024-04-16 00:00:09
py3-pyscreeze-pyc-0.1.29-r2.apk14.2 KiB2024-04-16 00:00:09
py3-pymata-2.20-r3.apk22.2 KiB2024-04-16 00:00:09
py3-remind-pyc-0.18.0-r3.apk4.4 KiB2024-04-16 00:00:09
py3-redmine-2.4.0-r3.apk34.5 KiB2024-04-16 00:00:09
py3-phpserialize-1.3-r7.apk8.7 KiB2024-04-16 00:00:09
py3-pygtail-pyc-0.14.0-r3.apk10.1 KiB2024-04-16 00:00:09
py3-pyroma-pyc-4.2-r0.apk26.0 KiB2024-04-16 00:00:09
py3-python-logstash-doc-0.4.8-r3.apk2.3 KiB2024-04-16 00:00:09
py3-pytest-metadata-3.1.1-r0.apk10.2 KiB2024-04-16 00:00:09
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk33.5 KiB2024-04-16 00:00:09
py3-sh-2.0.6-r1.apk38.0 KiB2024-04-16 00:00:09
py3-sphinx-theme-better-pyc-0.1.5-r6.apk2.0 KiB2024-04-16 00:00:09
py3-sphinxcontrib-htsql-0.1.5-r8.apk10.6 KiB2024-04-16 00:00:09
py3-slidge-style-parser-pyc-0.1.6-r1.apk2.0 KiB2024-04-16 00:00:09
py3-pyzor-pyc-1.0.0-r10.apk53.7 KiB2024-04-16 00:00:09
py3-pyzor-1.0.0-r10.apk32.4 KiB2024-04-16 00:00:09
py3-rstr-pyc-3.2.2-r3.apk10.0 KiB2024-04-16 00:00:09
py3-sphinxcontrib-slide-1.0.0-r3.apk5.0 KiB2024-04-16 00:00:09
py3-pygtail-0.14.0-r3.apk15.0 KiB2024-04-16 00:00:09
py3-qpageview-doc-0.6.2-r1.apk56.3 KiB2024-04-16 00:00:09
py3-slidge-style-parser-0.1.6-r1.apk179.8 KiB2024-04-16 00:00:09
py3-pybars3-0.9.7-r5.apk12.1 KiB2024-04-16 00:00:09
py3-pockethernet-pyc-0.7.0-r3.apk25.2 KiB2024-04-16 00:00:09
py3-pyte-pyc-0.8.2-r1.apk39.5 KiB2024-04-16 00:00:09
py3-qpageview-0.6.2-r1.apk98.0 KiB2024-04-16 00:00:09
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk6.6 KiB2024-04-16 00:00:09
py3-sphinxcontrib-cartouche-1.1.2-r7.apk17.9 KiB2024-04-16 00:00:09
py3-qt.py-pyc-1.3.10-r0.apk24.7 KiB2024-04-16 00:00:09
py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk18.9 KiB2024-04-16 00:00:09
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk11.9 KiB2024-04-16 00:00:09
py3-sphinxcontrib-programoutput-pyc-0.17-r4.apk23.9 KiB2024-04-16 00:00:09
py3-pyatem-0.5.0-r3.apk52.0 KiB2024-04-16 00:00:09
py3-spnego-0.10.2-r1.apk118.9 KiB2024-04-16 00:00:09
py3-requests-kerberos-pyc-0.14.0-r4.apk11.0 KiB2024-04-16 00:00:09
py3-protego-pyc-0.3.0-r1.apk11.7 KiB2024-04-16 00:00:09
py3-rfc3987-pyc-1.3.8-r5.apk10.6 KiB2024-04-16 00:00:09
py3-pprintpp-pyc-0.4.0-r1.apk16.3 KiB2024-04-16 00:00:09
py3-pytest-subprocess-1.5.0-r2.apk18.0 KiB2024-04-16 00:00:09
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk10.6 KiB2024-04-16 00:00:09
py3-sphinxcontrib-textstyle-0.2.3-r8.apk6.1 KiB2024-04-16 00:00:09
py3-python-iptables-1.0.1-r1.apk38.4 KiB2024-04-16 00:00:09
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk2.5 KiB2024-04-16 00:00:09
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk3.3 KiB2024-04-16 00:00:09
py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk43.8 KiB2024-04-16 00:00:09
py3-scs-3.2.3-r3.apk96.0 KiB2024-04-16 00:00:09
py3-remind-0.18.0-r3.apk12.6 KiB2024-04-16 00:00:09
py3-playsound-pyc-1.3.0-r1.apk8.5 KiB2024-04-16 00:00:09
py3-pymaging-png-0.0.20130727-r9.apk34.3 KiB2024-04-16 00:00:09
py3-radon-6.0.1-r1.apk32.3 KiB2024-04-16 00:00:09
py3-telegram-bot-pyc-20.8-r1.apk653.9 KiB2024-04-16 00:00:10
py3-us-pyc-3.1.1-r1.apk15.0 KiB2024-04-16 00:00:10
py3-unicrypto-pyc-0.0.10-r2.apk94.1 KiB2024-04-16 00:00:10
quodlibet-zsh-completion-4.6.0-r1.apk2.7 KiB2024-04-16 00:00:10
py3-urlobject-2.4.3-r8.apk14.3 KiB2024-04-16 00:00:10
py3-wifi-0.3.8-r6.apk11.8 KiB2024-04-16 00:00:10
py3-tidalapi-0.7.4-r1.apk35.6 KiB2024-04-16 00:00:10
py3-visitor-0.1.3-r6.apk4.2 KiB2024-04-16 00:00:10
py3-utils-pyc-3.8.1-r1.apk36.9 KiB2024-04-16 00:00:10
py3-tg-pyc-0.19.0-r4.apk80.7 KiB2024-04-16 00:00:10
py3-wg-netns-pyc-2.3.1-r1.apk13.4 KiB2024-04-16 00:00:10
py3-venusian-pyc-3.1.0-r1.apk12.7 KiB2024-04-16 00:00:10
pypykatz-pyc-0.6.9-r1.apk697.9 KiB2024-04-16 00:00:10
py3-zope-i18nmessageid-6.1.0-r1.apk14.1 KiB2024-04-16 00:00:10
py3-zope-schema-pyc-7.0.1-r2.apk61.1 KiB2024-04-16 00:00:10
py3-ward-pyc-0.67.0_beta0-r2.apk79.6 KiB2024-04-16 00:00:10
py3-teletype-1.3.4-r3.apk15.4 KiB2024-04-16 00:00:10
py3-untokenize-0.1.1-r3.apk4.2 KiB2024-04-16 00:00:10
py3-tasklib-pyc-2.5.1-r2.apk51.5 KiB2024-04-16 00:00:10
py3-tailer-pyc-0.4.1-r7.apk6.6 KiB2024-04-16 00:00:10
py3-textual-pyc-0.47.1-r1.apk871.3 KiB2024-04-16 00:00:10
py3-zope-i18nmessageid-pyc-6.1.0-r1.apk8.0 KiB2024-04-16 00:00:10
py3-tasklib-2.5.1-r2.apk23.1 KiB2024-04-16 00:00:10
py3-unidns-pyc-0.0.1-r2.apk21.6 KiB2024-04-16 00:00:10
randrctl-1.9.0-r5.apk15.8 KiB2024-04-16 00:00:10
py3-x-wr-timezone-0.0.7-r1.apk11.3 KiB2024-04-16 00:00:10
py3-unidns-0.0.1-r2.apk13.6 KiB2024-04-16 00:00:10
py3-toposort-1.10-r3.apk9.5 KiB2024-04-16 00:00:10
py3-teletype-pyc-1.3.4-r3.apk20.5 KiB2024-04-16 00:00:10
pympress-doc-1.8.5-r1.apk348.4 KiB2024-04-16 00:00:10
py3-textual-0.47.1-r1.apk438.9 KiB2024-04-16 00:00:10
py3-transitions-pyc-0.9.0-r3.apk114.6 KiB2024-04-16 00:00:10
py3-zope-configuration-pyc-5.0.1-r2.apk48.5 KiB2024-04-16 00:00:10
pypykatz-0.6.9-r1.apk313.9 KiB2024-04-16 00:00:10
quodlibet-pyc-4.6.0-r1.apk1.8 MiB2024-04-16 00:00:10
py3-tlslite-ng-pyc-0.7.6-r7.apk274.8 KiB2024-04-16 00:00:10
py3-typing_inspect-pyc-0.9.0-r2.apk14.2 KiB2024-04-16 00:00:10
py3-syrupy-pyc-4.6.1-r1.apk69.8 KiB2024-04-16 00:00:10
py3-zope-configuration-5.0.1-r2.apk39.1 KiB2024-04-16 00:00:10
py3-venusian-3.1.0-r1.apk13.9 KiB2024-04-16 00:00:10
py3-xapp-2.4.1-r1.apk33.9 KiB2024-04-16 00:00:10
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk2.1 KiB2024-04-16 00:00:10
py3-zope-schema-7.0.1-r2.apk43.2 KiB2024-04-16 00:00:10
py3-ward-0.67.0_beta0-r2.apk40.7 KiB2024-04-16 00:00:10
randrctl-pyc-1.9.0-r5.apk31.6 KiB2024-04-16 00:00:10
py3-zfs-autobackup-pyc-3.2.2-r1.apk69.8 KiB2024-04-16 00:00:10
py3-wifi-pyc-0.3.8-r6.apk13.8 KiB2024-04-16 00:00:10
py3-trivup-0.12.2-r1.apk33.0 KiB2024-04-16 00:00:10
qspectrumanalyzer-pyc-2.2.0-r4.apk62.3 KiB2024-04-16 00:00:10
py3-telegram-text-pyc-0.2.0-r1.apk13.0 KiB2024-04-16 00:00:10
py3-telemetrix-1.20-r2.apk20.8 KiB2024-04-16 00:00:10
py3-swagger-ui-bundle-1.1.0-r1.apk2.5 MiB2024-04-16 00:00:10
py3-vdf-pyc-3.4-r1.apk16.6 KiB2024-04-16 00:00:10
py3-telegram-text-0.2.0-r1.apk9.2 KiB2024-04-16 00:00:10
py3-telegram-bot-20.8-r1.apk386.3 KiB2024-04-16 00:00:10
py3-vatnumber-pyc-1.2-r8.apk8.6 KiB2024-04-16 00:00:10
py3-trivup-pyc-0.12.2-r1.apk54.9 KiB2024-04-16 00:00:10
py3-uptime-3.0.1-r8.apk8.5 KiB2024-04-16 00:00:10
razercfg-0.42-r6.apk67.5 KiB2024-04-16 00:00:10
razercfg-pyc-0.42-r6.apk35.7 KiB2024-04-16 00:00:10
py3-x-wr-timezone-pyc-0.0.7-r1.apk6.4 KiB2024-04-16 00:00:10
razercfg-openrc-0.42-r6.apk1.7 KiB2024-04-16 00:00:10
py3-syrupy-4.6.1-r1.apk42.6 KiB2024-04-16 00:00:10
razercfg-gui-0.42-r6.apk19.0 KiB2024-04-16 00:00:10
py3-wtf-peewee-3.0.5-r1.apk12.2 KiB2024-04-16 00:00:10
py3-tidalapi-pyc-0.7.4-r1.apk62.2 KiB2024-04-16 00:00:10
pympress-1.8.5-r1.apk181.2 KiB2024-04-16 00:00:10
py3-translationstring-1.4-r3.apk7.9 KiB2024-04-16 00:00:10
py3-wg-netns-2.3.1-r1.apk7.6 KiB2024-04-16 00:00:10
quodlibet-bash-completion-4.6.0-r1.apk4.7 KiB2024-04-16 00:00:10
pympress-pyc-1.8.5-r1.apk182.2 KiB2024-04-16 00:00:10
py3-unicrypto-0.0.10-r2.apk60.9 KiB2024-04-16 00:00:10
py3-xlwt-1.3.0-r9.apk94.6 KiB2024-04-16 00:00:10
py3-utils-3.8.1-r1.apk25.3 KiB2024-04-16 00:00:10
py3-wbdata-pyc-1.0.0-r1.apk19.6 KiB2024-04-16 00:00:10
py3-wtf-peewee-pyc-3.0.5-r1.apk24.8 KiB2024-04-16 00:00:10
py3-wstools-0.4.10-r6.apk51.9 KiB2024-04-16 00:00:10
quodlibet-4.6.0-r1.apk1.1 MiB2024-04-16 00:00:10
pympress-lang-1.8.5-r1.apk56.3 KiB2024-04-16 00:00:10
py3-uptime-pyc-3.0.1-r8.apk8.7 KiB2024-04-16 00:00:10
py3-ticket-auth-pyc-0.1.4-r8.apk6.4 KiB2024-04-16 00:00:10
qtile-pyc-0.23.0-r1.apk724.3 KiB2024-04-16 00:00:10
py3-vdf-3.4-r1.apk11.3 KiB2024-04-16 00:00:10
py3-twiggy-0.5.1-r3.apk22.7 KiB2024-04-16 00:00:10
py3-tls_parser-2.0.1-r1.apk9.2 KiB2024-04-16 00:00:10
py3-telegram-0.18.0-r2.apk12.6 KiB2024-04-16 00:00:10
py3-utc-0.0.3-r8.apk3.3 KiB2024-04-16 00:00:10
py3-yapsy-pyc-1.12.2-r7.apk47.1 KiB2024-04-16 00:00:10
py3-twiggy-pyc-0.5.1-r3.apk38.7 KiB2024-04-16 00:00:10
py3-yapsy-1.12.2-r7.apk32.2 KiB2024-04-16 00:00:10
py3-tls_parser-pyc-2.0.1-r1.apk17.4 KiB2024-04-16 00:00:10
py3-visitor-pyc-0.1.3-r6.apk2.7 KiB2024-04-16 00:00:10
py3-typing_inspect-0.9.0-r2.apk9.9 KiB2024-04-16 00:00:10
py3-uritools-4.0.2-r1.apk10.6 KiB2024-04-16 00:00:10
py3-xlwt-pyc-1.3.0-r9.apk165.5 KiB2024-04-16 00:00:10
py3-thefuzz-pyc-0.22.1-r1.apk9.0 KiB2024-04-16 00:00:10
py3-wstools-pyc-0.4.10-r6.apk110.8 KiB2024-04-16 00:00:10
py3-tlslite-ng-0.7.6-r7.apk165.8 KiB2024-04-16 00:00:10
py3-urlobject-pyc-2.4.3-r8.apk24.7 KiB2024-04-16 00:00:10
py3-translationstring-pyc-1.4-r3.apk8.8 KiB2024-04-16 00:00:10
py3-ticket-auth-0.1.4-r8.apk5.7 KiB2024-04-16 00:00:10
py3-tailer-0.4.1-r7.apk6.9 KiB2024-04-16 00:00:10
qspectrumanalyzer-2.2.0-r4.apk40.3 KiB2024-04-16 00:00:10
py3-toposort-pyc-1.10-r3.apk3.7 KiB2024-04-16 00:00:10
py3-zfs-autobackup-3.2.2-r1.apk55.5 KiB2024-04-16 00:00:10
py3-tg-0.19.0-r4.apk35.6 KiB2024-04-16 00:00:10
py3-vatnumber-1.2-r8.apk6.3 KiB2024-04-16 00:00:10
py3-us-3.1.1-r1.apk12.7 KiB2024-04-16 00:00:10
qtile-0.23.0-r1.apk375.0 KiB2024-04-16 00:00:10
py3-telegram-pyc-0.18.0-r2.apk20.9 KiB2024-04-16 00:00:10
py3-thefuzz-0.22.1-r1.apk10.0 KiB2024-04-16 00:00:10
quodlibet-doc-4.6.0-r1.apk8.7 KiB2024-04-16 00:00:10
py3-untokenize-pyc-0.1.1-r3.apk2.8 KiB2024-04-16 00:00:10
py3-transitions-0.9.0-r3.apk85.0 KiB2024-04-16 00:00:10
quodlibet-lang-4.6.0-r1.apk1.2 MiB2024-04-16 00:00:10
py3-utc-pyc-0.0.3-r8.apk2.8 KiB2024-04-16 00:00:10
py3-wbdata-1.0.0-r1.apk18.5 KiB2024-04-16 00:00:10
py3-uritools-pyc-4.0.2-r1.apk13.9 KiB2024-04-16 00:00:10
py3-virtualenvwrapper-6.1.0-r1.apk21.9 KiB2024-04-16 00:00:10
py3-uc-micro-py-1.0.2-r1.apk9.1 KiB2024-04-16 00:00:10
py3-unidns-examples-0.0.1-r2.apk2.7 KiB2024-04-16 00:00:10
py3-telemetrix-pyc-1.20-r2.apk31.1 KiB2024-04-16 00:00:10
py3-virtualenvwrapper-pyc-6.1.0-r1.apk11.7 KiB2024-04-16 00:00:10
screenkey-doc-1.5-r6.apk11.0 KiB2024-04-16 00:00:11
rmlint-doc-2.10.2-r1.apk17.8 KiB2024-04-16 00:00:11
rmlint-lang-2.10.2-r1.apk18.9 KiB2024-04-16 00:00:11
rosdep-0.19.0-r5.apk64.3 KiB2024-04-16 00:00:11
rhasspy-nlu-0.4.0-r3.apk43.6 KiB2024-04-16 00:00:11
remind-caldav-0.8.0-r3.apk6.5 KiB2024-04-16 00:00:11
rosdep-pyc-0.19.0-r5.apk119.3 KiB2024-04-16 00:00:11
rmlint-shredder-pyc-2.10.2-r1.apk124.7 KiB2024-04-16 00:00:11
recoll-doc-1.37.5-r1.apk21.1 KiB2024-04-16 00:00:11
screenkey-pyc-1.5-r6.apk73.4 KiB2024-04-16 00:00:11
screenkey-1.5-r6.apk77.0 KiB2024-04-16 00:00:11
recoll-dev-1.37.5-r1.apk53.1 KiB2024-04-16 00:00:11
ruby-libguestfs-1.52.0-r1.apk101.4 KiB2024-04-16 00:00:11
rmlint-2.10.2-r1.apk133.9 KiB2024-04-16 00:00:11
rmlint-shredder-2.10.2-r1.apk96.0 KiB2024-04-16 00:00:11
remind-caldav-pyc-0.8.0-r3.apk6.2 KiB2024-04-16 00:00:11
rhasspy-nlu-pyc-0.4.0-r3.apk72.9 KiB2024-04-16 00:00:11
recoll-1.37.5-r1.apk2.6 MiB2024-04-16 00:00:11
xandikos-0.2.11-r1.apk92.4 KiB2024-04-16 00:00:17
syncthing-gtk-0.9.4.5-r1.apk424.7 KiB2024-04-16 00:00:17
tldr-python-client-pyc-3.2.0-r1.apk13.5 KiB2024-04-16 00:00:17
wok-doc-3.0.0-r6.apk3.7 KiB2024-04-16 00:00:17
thefuck-3.32-r3.apk74.3 KiB2024-04-16 00:00:17
visidata-pyc-2.11.1-r2.apk497.4 KiB2024-04-16 00:00:17
visidata-doc-2.11.1-r2.apk15.9 KiB2024-04-16 00:00:17
uranium-5.2.2-r3.apk595.5 KiB2024-04-16 00:00:17
scummvm-doc-2.8.1-r0.apk114.6 KiB2024-04-16 00:00:17
venc-pyc-3.1.1-r1.apk114.8 KiB2024-04-16 00:00:17
subdl-0_git20230616-r1.apk8.7 KiB2024-04-16 00:00:17
visidata-zsh-completion-2.11.1-r2.apk7.2 KiB2024-04-16 00:00:17
vcstool-zsh-completion-0.3.0-r5.apk1.7 KiB2024-04-16 00:00:17
thefuck-pyc-3.32-r3.apk155.7 KiB2024-04-16 00:00:17
vit-2.3.2-r1.apk80.5 KiB2024-04-16 00:00:17
vit-pyc-2.3.2-r1.apk151.5 KiB2024-04-16 00:00:17
yamkix-0.10.0-r1.apk14.2 KiB2024-04-16 00:00:17
xandikos-pyc-0.2.11-r1.apk188.3 KiB2024-04-16 00:00:17
ydcv-zsh-completion-0.7-r7.apk2.2 KiB2024-04-16 00:00:17
xandikos-doc-0.2.11-r1.apk2.3 KiB2024-04-16 00:00:17
synadm-pyc-0.46-r1.apk66.6 KiB2024-04-16 00:00:17
syncthing-gtk-pyc-0.9.4.5-r1.apk220.6 KiB2024-04-16 00:00:17
synadm-0.46-r1.apk56.6 KiB2024-04-16 00:00:17
wok-3.0.0-r6.apk156.6 KiB2024-04-16 00:00:17
subliminal-2.1.0-r4.apk53.1 KiB2024-04-16 00:00:17
sigma-0.23.1-r1.apk236.8 KiB2024-04-16 00:00:17
subdl-pyc-0_git20230616-r1.apk14.1 KiB2024-04-16 00:00:17
syncthing-gtk-doc-0.9.4.5-r1.apk2.2 KiB2024-04-16 00:00:17
ydcv-pyc-0.7-r7.apk10.6 KiB2024-04-16 00:00:17
subliminal-pyc-2.1.0-r4.apk105.7 KiB2024-04-16 00:00:17
tldr-python-client-doc-3.2.0-r1.apk3.5 KiB2024-04-16 00:00:17
sigma-pyc-0.23.1-r1.apk340.0 KiB2024-04-16 00:00:17
vcstool-pyc-0.3.0-r5.apk57.7 KiB2024-04-16 00:00:17
wok-pyc-3.0.0-r6.apk118.7 KiB2024-04-16 00:00:17
visidata-2.11.1-r2.apk248.4 KiB2024-04-16 00:00:17
teapot-tools-0.4.2-r2.apk1.8 MiB2024-04-16 00:00:17
venc-3.1.1-r1.apk157.9 KiB2024-04-16 00:00:17
wok-lang-3.0.0-r6.apk16.0 KiB2024-04-16 00:00:17
yamkix-pyc-0.10.0-r1.apk11.8 KiB2024-04-16 00:00:17
scummvm-2.8.1-r0.apk97.2 MiB2024-04-16 00:00:17
ydcv-0.7-r7.apk7.7 KiB2024-04-16 00:00:17
tldr-python-client-3.2.0-r1.apk10.9 KiB2024-04-16 00:00:17
xandikos-openrc-0.2.11-r1.apk2.2 KiB2024-04-16 00:00:17
vcstool-bash-completion-0.3.0-r5.apk1.8 KiB2024-04-16 00:00:17
sysls-2-r1.apk6.0 KiB2024-04-16 00:00:17
vcstool-0.3.0-r5.apk34.8 KiB2024-04-16 00:00:17
vcstool-tcsh-completion-0.3.0-r5.apk1.6 KiB2024-04-16 00:00:17
octoprint-creality2xfix-0.0.4-r1.apk4.8 KiB2024-04-16 00:38:54
py3-netifaces2-0.0.22-r0.apk170.8 KiB2024-04-16 00:38:54
octoprint-creality2xfix-pyc-0.0.4-r1.apk3.4 KiB2024-04-16 00:38:54
octoprint-filecheck-2024.3.27-r0.apk28.0 KiB2024-04-16 00:38:54
octoprint-pisupport-2023.10.10-r0.apk30.9 KiB2024-04-16 00:38:54
octoprint-pisupport-pyc-2023.10.10-r0.apk12.9 KiB2024-04-16 00:38:54
py3-netifaces2-pyc-0.0.22-r0.apk9.2 KiB2024-04-16 00:38:54
octoprint-firmwarecheck-pyc-2021.10.11-r1.apk17.7 KiB2024-04-16 00:38:54
octoprint-filecheck-pyc-2024.3.27-r0.apk11.4 KiB2024-04-16 00:38:54
octoprint-firmwarecheck-2021.10.11-r1.apk29.4 KiB2024-04-16 00:38:54
caffeine-ng-4.2.0-r1.apk100.4 KiB2024-04-16 01:32:49
caffeine-ng-lang-4.2.0-r1.apk34.4 KiB2024-04-16 01:32:49
caffeine-ng-doc-4.2.0-r1.apk3.2 KiB2024-04-16 01:32:49
pict-rs-openrc-0.5.13-r0.apk1.9 KiB2024-04-16 11:20:16
pict-rs-0.5.13-r0.apk5.5 MiB2024-04-16 11:20:16
ruff-lsp-pyc-0.0.53-r0.apk34.7 KiB2024-04-16 11:20:37
ruff-lsp-0.0.53-r0.apk21.3 KiB2024-04-16 11:20:37
perl-algorithm-backoff-doc-0.010-r0.apk29.5 KiB2024-04-16 11:28:01
perl-color-rgb-util-0.607-r0.apk9.4 KiB2024-04-16 11:28:01
perl-test-randomresult-0.001-r0.apk3.5 KiB2024-04-16 11:28:01
perl-io-lambda-1.33-r0.apk75.5 KiB2024-04-16 11:28:01
perl-io-lambda-doc-1.33-r0.apk67.9 KiB2024-04-16 11:28:01
perl-color-rgb-util-doc-0.607-r0.apk7.4 KiB2024-04-16 11:28:01
perl-color-ansi-util-doc-0.165-r0.apk5.2 KiB2024-04-16 11:28:01
perl-test-randomresult-doc-0.001-r0.apk3.7 KiB2024-04-16 11:28:01
perl-color-ansi-util-0.165-r0.apk7.3 KiB2024-04-16 11:28:01
perl-algorithm-backoff-0.010-r0.apk9.6 KiB2024-04-16 11:28:01
muse-4.2.1-r1.apk5.6 MiB2024-04-16 15:53:41
pitivi-pyc-2023.03-r1.apk703.7 KiB2024-04-16 15:53:41
pitivi-2023.03-r1.apk2.2 MiB2024-04-16 15:53:41
pitivi-lang-2023.03-r1.apk679.9 KiB2024-04-16 15:53:41
muse-doc-4.2.1-r1.apk4.1 MiB2024-04-16 15:53:41
thunarx-python-0.5.2-r2.apk9.1 KiB2024-04-16 16:34:34
thunarx-python-doc-0.5.2-r2.apk25.2 KiB2024-04-16 16:34:34
rizin-cutter-dev-2.3.2-r2.apk107.9 KiB2024-04-16 16:48:04
rizin-cutter-2.3.2-r2.apk2.0 MiB2024-04-16 16:48:04
perl-anyevent-mocktcpserver-doc-1.172150-r0.apk4.6 KiB2024-04-16 17:37:26
perl-net-mqtt-simple-1.29-r0.apk12.0 KiB2024-04-16 17:37:26
perl-x-tiny-doc-0.22-r0.apk7.6 KiB2024-04-16 17:37:26
perl-anyevent-mqtt-1.212810-r0.apk11.1 KiB2024-04-16 17:37:26
perl-test-settings-doc-0.003-r0.apk6.0 KiB2024-04-16 17:37:26
perl-net-mqtt-trace-1.163170-r0.apk4.3 KiB2024-04-16 17:37:26
perl-net-mqtt-doc-1.163170-r0.apk34.9 KiB2024-04-16 17:37:26
perl-x-tiny-0.22-r0.apk6.9 KiB2024-04-16 17:37:26
perl-anyevent-mqtt-monitor-1.212810-r0.apk4.5 KiB2024-04-16 17:37:26
perl-anyevent-mqtt-doc-1.212810-r0.apk11.3 KiB2024-04-16 17:37:26
perl-net-mqtt-simple-doc-1.29-r0.apk11.5 KiB2024-04-16 17:37:26
perl-net-mqtt-simple-ssl-1.29-r0.apk3.4 KiB2024-04-16 17:37:26
perl-test-settings-0.003-r0.apk4.9 KiB2024-04-16 17:37:26
perl-anyevent-mocktcpserver-1.172150-r0.apk4.9 KiB2024-04-16 17:37:26
perl-net-mqtt-1.163170-r0.apk12.8 KiB2024-04-16 17:37:26
perl-test-describeme-doc-0.004-r0.apk4.2 KiB2024-04-16 17:37:26
perl-test-describeme-0.004-r0.apk3.6 KiB2024-04-16 17:37:26
py3-libmdbx-0.10.2-r6.apk26.6 KiB2024-04-17 02:54:49
py3-u-msgpack-2.8.0-r1.apk10.5 KiB2024-04-17 02:54:49
urlwatch-pyc-2.28-r1.apk101.4 KiB2024-04-17 02:54:49
py3-pytest-expect-1.1.0-r9.apk5.0 KiB2024-04-17 02:54:49
py3-googletrans-3.0.0-r4.apk15.1 KiB2024-04-17 02:54:49
py3-livestream-pyc-2.0.0-r2.apk30.6 KiB2024-04-17 02:54:49
py3-libmdbx-pyc-0.10.2-r6.apk32.8 KiB2024-04-17 02:54:49
py3-pytest-expect-pyc-1.1.0-r9.apk7.0 KiB2024-04-17 02:54:49
py3-imageio-2.34.0-r1.apk286.3 KiB2024-04-17 02:54:49
py3-youtube-search-1.6.6-r3.apk77.3 KiB2024-04-17 02:54:49
py3-youtube-search-pyc-1.6.6-r3.apk96.2 KiB2024-04-17 02:54:49
urlwatch-doc-2.28-r1.apk33.2 KiB2024-04-17 02:54:49
sublime-music-pyc-0.12.0-r1.apk302.2 KiB2024-04-17 02:54:49
py3-googletrans-pyc-3.0.0-r4.apk17.5 KiB2024-04-17 02:54:49
py3-imageio-pyc-2.34.0-r1.apk502.0 KiB2024-04-17 02:54:49
sublime-music-0.12.0-r1.apk189.5 KiB2024-04-17 02:54:49
urlwatch-2.28-r1.apk47.8 KiB2024-04-17 02:54:49
py3-u-msgpack-pyc-2.8.0-r1.apk16.3 KiB2024-04-17 02:54:49
py3-livestream-2.0.0-r2.apk767.1 KiB2024-04-17 02:54:49
cdogs-sdl-2.1.0-r0.apk33.3 MiB2024-04-17 10:22:13
jedi-language-server-pyc-0.41.4-r0.apk37.4 KiB2024-04-17 10:27:10
jedi-language-server-0.41.4-r0.apk26.5 KiB2024-04-17 10:27:10
xml2rfc-3.21.0-r0.apk353.0 KiB2024-04-17 10:31:31
xml2rfc-pyc-3.21.0-r0.apk406.8 KiB2024-04-17 10:31:31
geonames-lang-0.3.1-r1.apk4.6 MiB2024-04-17 14:47:42
geonames-doc-0.3.1-r1.apk12.6 KiB2024-04-17 14:47:42
geonames-0.3.1-r1.apk826.3 KiB2024-04-17 14:47:42
geonames-dev-0.3.1-r1.apk3.0 KiB2024-04-17 14:47:42
yaru-common-23.10.0-r0.apk4.6 KiB2024-04-18 02:06:48
yaru-shell-23.10.0-r0.apk745.6 KiB2024-04-18 02:06:49
yaru-icon-theme-bark-23.10.0-r0.apk1.0 MiB2024-04-18 02:06:49
yaru-icon-theme-blue-23.10.0-r0.apk1.1 MiB2024-04-18 02:06:49
yaru-schemas-23.10.0-r0.apk1.8 KiB2024-04-18 02:06:49
yaru-theme-23.10.0-r0.apk855.9 KiB2024-04-18 02:06:49
yaru-icon-theme-olive-23.10.0-r0.apk1.1 MiB2024-04-18 02:06:49
yaru-sounds-23.10.0-r0.apk676.1 KiB2024-04-18 02:06:49
yaru-icon-theme-mate-23.10.0-r0.apk1.2 MiB2024-04-18 02:06:49
yaru-icon-theme-red-23.10.0-r0.apk1.1 MiB2024-04-18 02:06:49
yaru-icon-theme-purple-23.10.0-r0.apk1.1 MiB2024-04-18 02:06:49
yaru-icon-theme-sage-23.10.0-r0.apk1.1 MiB2024-04-18 02:06:49
yaru-icon-theme-viridian-23.10.0-r0.apk1.1 MiB2024-04-18 02:06:49
yaru-icon-theme-magenta-23.10.0-r0.apk1.1 MiB2024-04-18 02:06:49
yaru-icon-theme-23.10.0-r0.apk34.8 MiB2024-04-18 02:06:49
yaru-theme-bark-23.10.0-r0.apk772.9 KiB2024-04-18 02:06:49
yaru-theme-blue-23.10.0-r0.apk775.7 KiB2024-04-18 02:06:49
yaru-theme-hdpi-23.10.0-r0.apk78.2 KiB2024-04-18 02:06:49
yaru-icon-theme-prussiangreen-23.10.0-r0.apk1.1 MiB2024-04-18 02:06:49
yaru-theme-magenta-23.10.0-r0.apk771.7 KiB2024-04-18 02:06:50
yaru-theme-sage-23.10.0-r0.apk773.0 KiB2024-04-18 02:06:50
yaru-theme-purple-23.10.0-r0.apk767.1 KiB2024-04-18 02:06:50
yaru-theme-red-23.10.0-r0.apk770.2 KiB2024-04-18 02:06:50
yaru-theme-viridian-23.10.0-r0.apk770.3 KiB2024-04-18 02:06:50
yaru-theme-mate-23.10.0-r0.apk735.5 KiB2024-04-18 02:06:50
yaru-theme-olive-23.10.0-r0.apk769.2 KiB2024-04-18 02:06:50
yaru-theme-prussiangreen-23.10.0-r0.apk769.4 KiB2024-04-18 02:06:50
coccinelle-bash-completion-1.1.1-r2.apk2.9 KiB2024-04-18 11:20:36
supermin-doc-5.2.2-r2.apk9.4 KiB2024-04-18 11:20:36
coccinelle-doc-1.1.1-r2.apk16.0 KiB2024-04-18 11:20:36
coccinelle-1.1.1-r2.apk6.7 MiB2024-04-18 11:20:36
supermin-5.2.2-r2.apk490.2 KiB2024-04-18 11:20:36
yoshimi-doc-2.3.2-r0.apk4.5 MiB2024-04-18 13:54:00
yoshimi-2.3.2-r0.apk5.5 MiB2024-04-18 13:54:00
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk12.7 KiB2024-04-18 13:58:39
py3-hatch-openzim-bootstrap-0.1.0-r0.apk24.2 KiB2024-04-18 13:58:39
py3-hatch-openzim-0.2.0-r0.apk24.5 KiB2024-04-18 13:58:39
py3-hatch-openzim-pyc-0.2.0-r0.apk13.0 KiB2024-04-18 13:58:39
py3-iso639-lang-2.2.3-r0.apk269.0 KiB2024-04-18 13:58:59
py3-iso639-lang-pyc-2.2.3-r0.apk9.7 KiB2024-04-18 13:58:59
turn-rs-cli-2.1.3-r0.apk779.0 KiB2024-04-18 14:03:23
turn-rs-2.1.3-r0.apk1006.7 KiB2024-04-18 14:03:23
turn-rs-doc-2.1.3-r0.apk13.6 KiB2024-04-18 14:03:23
turn-rs-balance-2.1.3-r0.apk444.9 KiB2024-04-18 14:03:23
turn-rs-openrc-2.1.3-r0.apk2.0 KiB2024-04-18 14:03:23
extrace-doc-0.9-r0.apk3.5 KiB2024-04-18 19:38:53
extrace-0.9-r0.apk9.7 KiB2024-04-18 19:38:53
maxima-bash-completion-5.47.0-r7.apk2.4 KiB2024-04-19 06:07:27
maxima-doc-5.47.0-r7.apk760.9 KiB2024-04-19 06:07:27
maxima-5.47.0-r7.apk21.2 MiB2024-04-19 06:07:27
maxima-doc-extra-5.47.0-r7.apk10.0 MiB2024-04-19 06:07:28
maxima-emacs-5.47.0-r7.apk111.4 KiB2024-04-19 06:07:28
py3-snapshottest-pyc-0.6.0-r4.apk25.7 KiB2024-04-19 14:05:45
py3-snapshottest-0.6.0-r4.apk13.8 KiB2024-04-19 14:05:45
sequoia-sqv-1.2.1-r0.apk600.7 KiB2024-04-21 18:48:12
greetd-wlgreet-0.5.0-r0.apk555.8 KiB2024-04-22 02:23:00
chamo-dev-4.0-r0.apk4.1 MiB2024-04-22 06:14:05
ocaml-amqp-client-dev-2.3.0-r0.apk1.0 MiB2024-04-22 06:14:05
ocaml-alcotest-1.5.0-r4.apk460.0 KiB2024-04-22 06:14:05
ocaml-alcotest-dev-1.5.0-r4.apk821.0 KiB2024-04-22 06:14:05
chamo-byte-4.0-r0.apk1.4 MiB2024-04-22 06:14:05
ocaml-amqp-client-2.3.0-r0.apk605.4 KiB2024-04-22 06:14:05
chamo-4.0-r0.apk6.2 MiB2024-04-22 06:14:05
ocaml-ezxmlm-dev-1.1.0-r0.apk52.1 KiB2024-04-22 06:14:06
ocaml-atd-dev-2.15.0-r0.apk1.9 MiB2024-04-22 06:14:06
ocaml-conduit-6.1.0-r0.apk290.7 KiB2024-04-22 06:14:06
ocaml-cohttp-tools-5.3.1-r0.apk7.6 MiB2024-04-22 06:14:06
ocaml-cohttp-5.3.1-r0.apk677.3 KiB2024-04-22 06:14:06
ocaml-atd-2.15.0-r0.apk6.6 MiB2024-04-22 06:14:06
ocaml-ezxmlm-1.1.0-r0.apk31.9 KiB2024-04-22 06:14:06
ocaml-cohttp-dev-5.3.1-r0.apk1.3 MiB2024-04-22 06:14:06
ocaml-conduit-dev-6.1.0-r0.apk548.9 KiB2024-04-22 06:14:06
ocaml-gitlab-0.1.8-r0.apk3.0 MiB2024-04-22 06:14:07
ocaml-gitlab-dev-0.1.8-r0.apk11.6 MiB2024-04-22 06:14:07
ocaml-magic-mime-dev-1.3.1-r0.apk320.7 KiB2024-04-22 06:14:08
ocaml-iri-dev-1.0.0-r0.apk770.4 KiB2024-04-22 06:14:08
ocaml-higlo-0.9-r0.apk4.9 MiB2024-04-22 06:14:08
ocaml-iri-1.0.0-r0.apk1.8 MiB2024-04-22 06:14:08
ocaml-jsonm-dev-1.0.2-r0.apk67.2 KiB2024-04-22 06:14:08
ocaml-lwt_ssl-dev-1.2.0-r0.apk46.8 KiB2024-04-22 06:14:08
ocaml-ppx_blob-dev-0.8.0-r0.apk18.7 KiB2024-04-22 06:14:08
ocaml-mqtt-0.2.2-r0.apk156.1 KiB2024-04-22 06:14:08
ocaml-lwt_ssl-1.2.0-r0.apk29.2 KiB2024-04-22 06:14:08
ocaml-jsonm-1.0.2-r0.apk118.7 KiB2024-04-22 06:14:08
ocaml-ssl-0.7.0-r0.apk92.7 KiB2024-04-22 06:14:08
ocaml-ppx_blob-0.8.0-r0.apk3.7 MiB2024-04-22 06:14:08
ocaml-otoml-dev-1.0.5-r0.apk780.5 KiB2024-04-22 06:14:08
ocaml-iso8601-0.2.6-r0.apk52.1 KiB2024-04-22 06:14:08
ocaml-mqtt-dev-0.2.2-r0.apk258.5 KiB2024-04-22 06:14:08
ocaml-ssl-dev-0.7.0-r0.apk207.8 KiB2024-04-22 06:14:08
ocaml-higlo-dev-0.9-r0.apk677.1 KiB2024-04-22 06:14:08
ocaml-magic-mime-1.3.1-r0.apk222.8 KiB2024-04-22 06:14:08
ocaml-otoml-1.0.5-r0.apk443.7 KiB2024-04-22 06:14:08
ocaml-jsonm-tools-1.0.2-r0.apk435.1 KiB2024-04-22 06:14:08
ocaml-iso8601-dev-0.2.6-r0.apk87.5 KiB2024-04-22 06:14:08
ocaml-tsdl-dev-1.0.0-r0.apk575.8 KiB2024-04-22 06:14:09
ocaml-tsdl-image-0.6-r0.apk47.4 KiB2024-04-22 06:14:09
ocaml-tsdl-ttf-dev-0.6-r0.apk109.1 KiB2024-04-22 06:14:09
ocaml-tsdl-ttf-0.6-r0.apk62.2 KiB2024-04-22 06:14:09
ocaml-tsdl-image-dev-0.6-r0.apk77.6 KiB2024-04-22 06:14:09
ocaml-stk-0.1.0-r0.apk6.1 MiB2024-04-22 06:14:09
ocaml-stk-dev-0.1.0-r0.apk9.9 MiB2024-04-22 06:14:09
ocaml-tsdl-1.0.0-r0.apk919.4 KiB2024-04-22 06:14:09
ocaml-xtmpl-0.19.0-r0.apk8.8 MiB2024-04-22 06:14:10
olab-0.1.8-r0.apk3.4 MiB2024-04-22 06:14:10
ocaml-xtmpl-dev-0.19.0-r0.apk776.8 KiB2024-04-22 06:14:10
cargo-sort-1.0.9_git20240110-r0.apk355.5 KiB2024-04-22 15:52:53
vera++-1.3.0-r10.apk231.5 KiB2024-04-22 15:52:53
amule-lang-2.3.3-r13.apk1.6 MiB2024-04-22 17:49:15
btfs-doc-2.24-r12.apk2.4 KiB2024-04-22 17:49:15
btfs-2.24-r12.apk27.1 KiB2024-04-22 17:49:15
brial-dev-1.2.11-r3.apk1.7 MiB2024-04-22 17:49:15
amule-2.3.3-r13.apk3.8 MiB2024-04-22 17:49:15
amule-doc-2.3.3-r13.apk281.4 KiB2024-04-22 17:49:15
brial-1.2.11-r3.apk1014.2 KiB2024-04-22 17:49:15
libeantic-2.0.2-r1.apk64.1 KiB2024-04-22 17:49:16
interception-tools-openrc-0.6.8-r2.apk1.7 KiB2024-04-22 17:49:16
horizon-doc-0.9.6-r9.apk21.5 KiB2024-04-22 17:49:16
libeantic-dev-2.0.2-r1.apk17.6 KiB2024-04-22 17:49:16
gearmand-openrc-1.1.21-r1.apk1.8 KiB2024-04-22 17:49:16
horizon-0.9.6-r9.apk186.8 KiB2024-04-22 17:49:16
horizon-dbg-0.9.6-r9.apk4.0 MiB2024-04-22 17:49:16
fileshelter-5.1.2-r4.apk331.3 KiB2024-04-22 17:49:16
fileshelter-openrc-5.1.2-r4.apk1.7 KiB2024-04-22 17:49:16
lgogdownloader-doc-3.12-r2.apk8.2 KiB2024-04-22 17:49:16
gearmand-doc-1.1.21-r1.apk189.7 KiB2024-04-22 17:49:16
horizon-tools-0.9.6-r9.apk67.2 KiB2024-04-22 17:49:16
gearman-dev-1.1.21-r1.apk1012.8 KiB2024-04-22 17:49:16
lgogdownloader-3.12-r2.apk322.3 KiB2024-04-22 17:49:16
horizon-image-0.9.6-r9.apk60.0 KiB2024-04-22 17:49:16
gearmand-1.1.21-r1.apk152.5 KiB2024-04-22 17:49:16
interception-tools-0.6.8-r2.apk97.1 KiB2024-04-22 17:49:16
horizon-dev-0.9.6-r9.apk4.9 KiB2024-04-22 17:49:16
gearman-libs-1.1.21-r1.apk66.7 KiB2024-04-22 17:49:16
lizardfs-metalogger-openrc-3.13.0-r13.apk1.6 KiB2024-04-22 17:49:18
libnest2d-dev-0.4-r6.apk71.2 KiB2024-04-22 17:49:18
lizardfs-chunkserver-3.13.0-r13.apk332.9 KiB2024-04-22 17:49:18
libretro-cannonball-0_git20220309-r6.apk196.6 KiB2024-04-22 17:49:18
lizardfs-chunkserver-openrc-3.13.0-r13.apk1.6 KiB2024-04-22 17:49:18
lomiri-download-manager-dev-0.1.3-r1.apk17.7 KiB2024-04-22 17:49:18
lizardfs-master-3.13.0-r13.apk851.2 KiB2024-04-22 17:49:18
lizardfs-metalogger-3.13.0-r13.apk149.7 KiB2024-04-22 17:49:18
lizardfs-bash-completion-3.13.0-r13.apk1.9 KiB2024-04-22 17:49:18
lizardfs-cgiserv-openrc-3.13.0-r13.apk2.0 KiB2024-04-22 17:49:18
lizardfs-client-3.13.0-r13.apk1.1 MiB2024-04-22 17:49:18
lizardfs-cgi-3.13.0-r13.apk31.6 KiB2024-04-22 17:49:18
lizardfs-doc-3.13.0-r13.apk11.8 KiB2024-04-22 17:49:18
lomiri-download-manager-0.1.3-r1.apk488.3 KiB2024-04-22 17:49:18
libtins-4.5-r1.apk272.4 KiB2024-04-22 17:49:18
libnest2d-0.4-r6.apk1.4 KiB2024-04-22 17:49:18
lizardfs-cgiserv-3.13.0-r13.apk7.4 KiB2024-04-22 17:49:18
libtins-doc-4.5-r1.apk2.3 KiB2024-04-22 17:49:18
lizardfs-master-openrc-3.13.0-r13.apk1.6 KiB2024-04-22 17:49:18
libtins-dev-4.5-r1.apk141.3 KiB2024-04-22 17:49:18
lizardfs-3.13.0-r13.apk130.8 KiB2024-04-22 17:49:18
mir-demos-2.15.0-r1.apk104.3 KiB2024-04-22 17:49:19
mir-dev-2.15.0-r1.apk4.3 MiB2024-04-22 17:49:19
mir-2.15.0-r1.apk1.4 MiB2024-04-22 17:49:19
lomiri-download-manager-lang-0.1.3-r1.apk31.3 KiB2024-04-22 17:49:19
lomiri-thumbnailer-dev-3.0.3-r1.apk5.2 KiB2024-04-22 17:49:19
lomiri-thumbnailer-3.0.3-r1.apk192.3 KiB2024-04-22 17:49:19
lomiri-download-manager-doc-0.1.3-r1.apk740.1 KiB2024-04-22 17:49:19
mir-test-tools-2.15.0-r1.apk188.5 KiB2024-04-22 17:49:19
lomiri-thumbnailer-doc-3.0.3-r1.apk1.5 KiB2024-04-22 17:49:19
nextpnr-0.6-r2.apk1.4 KiB2024-04-22 17:49:19
nextpnr-gowin-0.6-r2.apk1.1 MiB2024-04-22 17:49:21
nextpnr-generic-0.6-r2.apk613.2 KiB2024-04-22 17:49:21
nextpnr-ecp5-0.6-r2.apk25.3 MiB2024-04-22 17:49:21
pcl-1.14.0-r1.apk2.5 MiB2024-04-22 17:49:25
pcl-dev-1.14.0-r1.apk1.5 MiB2024-04-22 17:49:25
normaliz-dev-3.10.2-r1.apk71.0 KiB2024-04-22 17:49:25
normaliz-3.10.2-r1.apk34.1 KiB2024-04-22 17:49:25
nextpnr-ice40-0.6-r2.apk68.4 MiB2024-04-22 17:49:25
normaliz-libs-3.10.2-r1.apk2.1 MiB2024-04-22 17:49:25
pebble-le-doc-0.3.0-r1.apk3.7 KiB2024-04-22 17:49:26
prjtrellis-1.4-r2.apk1.1 MiB2024-04-22 17:49:26
pulsar-client-cpp-dev-3.1.2-r4.apk54.6 KiB2024-04-22 17:49:26
persistent-cache-cpp-1.0.7-r1.apk42.9 KiB2024-04-22 17:49:26
restinio-0.6.17-r6.apk1.5 KiB2024-04-22 17:49:26
swig3-3.0.12-r3.apk1.2 MiB2024-04-22 17:49:26
persistent-cache-cpp-doc-1.0.7-r1.apk3.2 KiB2024-04-22 17:49:26
swig3-doc-3.0.12-r3.apk3.6 KiB2024-04-22 17:49:26
simgear-dev-2020.3.19-r1.apk394.2 KiB2024-04-22 17:49:26
pebble-le-0.3.0-r1.apk59.2 KiB2024-04-22 17:49:26
pulseview-0.4.2-r8.apk808.1 KiB2024-04-22 17:49:26
persistent-cache-cpp-dev-1.0.7-r1.apk18.1 KiB2024-04-22 17:49:26
restinio-dev-0.6.17-r6.apk272.9 KiB2024-04-22 17:49:26
pcl-libs-1.14.0-r1.apk16.1 MiB2024-04-22 17:49:26
py3-pynest2d-5.2.2-r4.apk217.6 KiB2024-04-22 17:49:26
pulseview-doc-0.4.2-r8.apk3.7 KiB2024-04-22 17:49:26
pebble-le-dev-0.3.0-r1.apk41.8 KiB2024-04-22 17:49:26
pulsar-client-cpp-3.1.2-r4.apk1.0 MiB2024-04-22 17:49:26
simgear-2020.3.19-r1.apk1.5 MiB2024-04-22 17:49:26
xcur2png-0.7.1-r0.apk8.2 KiB2024-04-23 08:01:40
xcur2png-doc-0.7.1-r0.apk2.9 KiB2024-04-23 08:01:40
dnsenum-doc-1.3.2-r0.apk5.2 KiB2024-04-24 01:33:00
dnsenum-1.3.2-r0.apk21.2 KiB2024-04-24 01:33:00
rtl-power-fftw-20200601-r4.apk56.6 KiB2024-04-24 20:46:47
welle-io-doc-2.4-r5.apk4.0 KiB2024-04-24 20:46:47
welle-io-2.4-r5.apk418.3 KiB2024-04-24 20:46:47
rtl-power-fftw-doc-20200601-r4.apk8.2 KiB2024-04-24 20:46:47
welle-cli-2.4-r5.apk252.0 KiB2024-04-24 20:46:47
py3-beartype-0.18.5-r0.apk730.8 KiB2024-04-25 01:47:38
py3-beartype-pyc-0.18.5-r0.apk525.1 KiB2024-04-25 01:47:38
php81-pecl-couchbase-4.2.1-r0.apk3.8 MiB2024-04-25 11:08:06
fcitx5-lang-5.1.9-r0.apk153.5 KiB2024-04-25 19:24:20
fcitx5-5.1.9-r0.apk8.6 MiB2024-04-25 19:24:20
fcitx5-dev-5.1.9-r0.apk134.7 KiB2024-04-25 19:24:20
py3-findpython-pyc-0.6.1-r0.apk30.4 KiB2024-04-25 23:13:24
py3-findpython-0.6.1-r0.apk18.1 KiB2024-04-25 23:13:24
grommunio-error-pages-1.0_git20231031-r0.apk23.5 KiB2024-04-26 06:37:29
libvmime-0.9.2.175-r0.apk598.7 KiB2024-04-26 06:37:30
grommunio-mapi-header-php-1.3-r0.apk93.8 KiB2024-04-26 06:37:30
libvmime-dbg-0.9.2.175-r0.apk12.7 MiB2024-04-26 06:37:30
libvmime-dev-0.9.2.175-r0.apk21.3 MiB2024-04-26 06:37:31
gimp-plugin-gmic-3.3.5-r0.apk1.1 MiB2024-04-26 13:45:42
gmic-qt-3.3.5-r0.apk1.5 MiB2024-04-26 13:45:42
gmic-bash-completion-3.3.5-r0.apk28.5 KiB2024-04-26 13:45:42
gmic-dev-3.3.5-r0.apk7.8 KiB2024-04-26 13:45:42
gmic-3.3.5-r0.apk11.3 MiB2024-04-26 13:45:42
gmic-libs-3.3.5-r0.apk4.0 MiB2024-04-26 13:45:42
gmic-doc-3.3.5-r0.apk218.9 KiB2024-04-26 13:45:42
rss-email-doc-0.5.0-r0.apk6.4 KiB2024-04-26 13:50:51
rss-email-0.5.0-r0.apk1.8 MiB2024-04-26 13:50:51
py3-cssutils-pyc-2.10.2-r0.apk277.3 KiB2024-04-26 13:58:34
py3-cssutils-2.10.2-r0.apk167.2 KiB2024-04-26 13:58:34
texlab-5.15.0-r0.apk8.4 MiB2024-04-26 15:31:10
lomiri-app-launch-0.1.9-r2.apk289.9 KiB2024-04-26 22:28:08
lomiri-app-launch-dev-0.1.9-r2.apk20.4 KiB2024-04-26 22:28:08
perl-promise-me-0.4.11-r0.apk25.5 KiB2024-04-27 08:33:16
perl-promise-me-doc-0.4.11-r0.apk12.0 KiB2024-04-27 08:33:16
perl-json-path-1.0.6-r0.apk16.2 KiB2024-04-27 08:33:16
perl-json-path-doc-1.0.6-r0.apk12.6 KiB2024-04-27 08:33:16
qt-jdenticon-doc-0.3.0-r0.apk2.2 KiB2024-04-27 21:46:29
qt-jdenticon-0.3.0-r0.apk26.6 KiB2024-04-27 21:46:29
tree-sitter-elixir-0.2.0-r0.apk199.7 KiB2024-04-28 09:33:14
ripdrag-0.4.8-r0.apk308.6 KiB2024-04-28 10:25:04
py3-mbedtls-2.10.1-r1.apk825.1 KiB2024-04-28 19:11:51
py3-mbedtls-pyc-2.10.1-r1.apk27.4 KiB2024-04-28 19:11:51
py3-microdata-0.8.0-r0.apk6.2 KiB2024-04-28 19:30:49
py3-microdata-pyc-0.8.0-r0.apk6.5 KiB2024-04-28 19:30:49
yazi-cli-0.2.5-r0.apk336.6 KiB2024-04-28 22:23:53
yazi-0.2.5-r0.apk3.8 MiB2024-04-28 22:23:53
yazi-zsh-completion-0.2.5-r0.apk2.1 KiB2024-04-28 22:23:53
yazi-fish-completion-0.2.5-r0.apk1.8 KiB2024-04-28 22:23:53
yazi-doc-0.2.5-r0.apk2.3 KiB2024-04-28 22:23:53
yazi-bash-completion-0.2.5-r0.apk2.1 KiB2024-04-28 22:23:53
py3-owslib-pyc-0.30.0-r0.apk387.4 KiB2024-04-28 22:37:03
py3-owslib-0.30.0-r0.apk179.8 KiB2024-04-28 22:37:03
libopensles-standalone-0_git20240221-r0.apk33.7 KiB2024-04-29 08:07:35
libopensles-standalone-dbg-0_git20240221-r0.apk242.5 KiB2024-04-29 08:07:35
libopensles-standalone-dev-0_git20240221-r0.apk1.5 KiB2024-04-29 08:07:35
gr-satellites-5.5.0-r1.apk829.0 KiB2024-04-29 16:47:06
gr-satellites-doc-5.5.0-r1.apk4.5 KiB2024-04-29 16:47:06
smplxmpp-0.9.3-r2.apk131.6 KiB2024-04-29 16:47:06
smplxmpp-doc-0.9.3-r2.apk24.9 KiB2024-04-29 16:47:06
gr-satellites-dev-5.5.0-r1.apk13.8 KiB2024-04-29 16:47:06
toybox-0.8.11-r0.apk268.6 KiB2024-04-29 21:07:48
lychee-doc-0.15.1-r0.apk11.4 KiB2024-04-29 22:05:57
lychee-0.15.1-r0.apk4.7 MiB2024-04-29 22:05:57
nmon-16q-r0.apk78.1 KiB2024-04-30 03:47:17
ghostcloud-0.9.9.5-r2.apk414.0 KiB2024-04-30 12:28:54
srain-1.7.0-r0.apk155.5 KiB2024-05-01 06:29:04
srain-lang-1.7.0-r0.apk30.2 KiB2024-05-01 06:29:04
binwalk-2.4.1-r0.apk147.3 KiB2024-05-01 09:32:34
binwalk-pyc-2.4.1-r0.apk166.3 KiB2024-05-01 09:32:34
primecount-libs-7.13-r0.apk113.5 KiB2024-05-01 17:11:36
primesieve-libs-12.3-r0.apk79.6 KiB2024-05-01 17:11:36
primesieve-12.3-r0.apk40.7 KiB2024-05-01 17:11:36
primesieve-doc-12.3-r0.apk4.0 KiB2024-05-01 17:11:36
primecount-dev-7.13-r0.apk2.4 MiB2024-05-01 17:11:36
primecount-doc-7.13-r0.apk3.8 KiB2024-05-01 17:11:36
primesieve-dev-12.3-r0.apk1.3 MiB2024-05-01 17:11:36
primecount-7.13-r0.apk26.4 KiB2024-05-01 17:11:36
codeberg-cli-0.4.0-r0.apk1.7 MiB2024-05-02 23:31:51
codeberg-cli-bash-completion-0.4.0-r0.apk4.9 KiB2024-05-02 23:31:51
codeberg-cli-fish-completion-0.4.0-r0.apk4.6 KiB2024-05-02 23:31:51
codeberg-cli-zsh-completion-0.4.0-r0.apk6.4 KiB2024-05-02 23:31:51
thumbdrives-0.3.2-r2.apk10.9 KiB2024-05-03 22:53:01
pyradio-0.9.3.4-r0.apk887.9 KiB2024-05-04 11:11:30
pyradio-doc-0.9.3.4-r0.apk107.9 KiB2024-05-04 11:11:30
pyradio-pyc-0.9.3.4-r0.apk791.6 KiB2024-05-04 11:11:30
mpvpaper-1.5-r0.apk24.2 KiB2024-05-04 11:17:49
mpvpaper-doc-1.5-r0.apk3.6 KiB2024-05-04 11:17:49
py3-timeago-1.0.16-r0.apk23.6 KiB2024-05-04 12:29:38
felix-2.13.0-r0.apk502.2 KiB2024-05-04 12:29:38
cargo-update-13.4.0-r0.apk1.2 MiB2024-05-04 12:29:38
py3-pypubsub-pyc-4.0.3-r0.apk89.9 KiB2024-05-04 12:29:38
hurl-doc-4.3.0-r0.apk8.1 KiB2024-05-04 12:29:38
py3-timeago-pyc-1.0.16-r0.apk27.7 KiB2024-05-04 12:29:38
py3-timeago-doc-1.0.16-r0.apk2.8 KiB2024-05-04 12:29:38
cargo-update-doc-13.4.0-r0.apk7.8 KiB2024-05-04 12:29:38
py3-pypubsub-4.0.3-r0.apk52.4 KiB2024-05-04 12:29:38
py3-pypubsub-doc-4.0.3-r0.apk2.1 KiB2024-05-04 12:29:38
hurl-4.3.0-r0.apk2.4 MiB2024-05-04 12:29:38
h4h5tools-dev-2.2.5-r3.apk8.8 KiB2024-05-04 13:29:10
h4h5tools-static-2.2.5-r3.apk107.5 KiB2024-05-04 13:29:10
h4h5tools-2.2.5-r3.apk112.5 KiB2024-05-04 13:29:10
h4h5tools-doc-2.2.5-r3.apk2.7 KiB2024-05-04 13:29:10
php81-pecl-opentelemetry-1.0.3-r0.apk9.8 KiB2024-05-04 15:12:22
perl-html-object-0.5.0-r0.apk347.8 KiB2024-05-04 15:37:22
perl-html-object-doc-0.5.0-r0.apk469.8 KiB2024-05-04 15:37:22
trantor-dev-1.5.18-r0.apk34.0 KiB2024-05-04 20:50:42
trantor-1.5.18-r0.apk196.0 KiB2024-05-04 20:50:42
trantor-doc-1.5.18-r0.apk2.6 KiB2024-05-04 20:50:42
emacs-fossil-0_git20230504-r0.apk14.6 KiB2024-05-05 06:26:59
emacs-derl-0_git20231004-r0.apk23.5 KiB2024-05-05 06:26:59
piping-server-0.18.0-r0.apk1.2 MiB2024-05-05 10:19:33
piping-server-openrc-0.18.0-r0.apk1.8 KiB2024-05-05 10:19:33
logwatch-doc-7.10-r1.apk38.1 KiB2024-05-05 15:28:10
logwatch-7.10-r1.apk483.5 KiB2024-05-05 15:28:10
sopwith-doc-2.5.0-r0.apk14.9 KiB2024-05-05 20:23:38
sopwith-2.5.0-r0.apk44.8 KiB2024-05-05 20:23:38
drogon-dev-1.9.4-r0.apk124.6 KiB2024-05-05 21:25:21
drogon-1.9.4-r0.apk1.3 MiB2024-05-05 21:25:21
drogon-doc-1.9.4-r0.apk2.3 KiB2024-05-05 21:25:21
ttfautohint-libs-1.8.4-r0.apk93.1 KiB2024-05-06 10:51:24
ttfautohint-dev-1.8.4-r0.apk140.7 KiB2024-05-06 10:51:24
ttfautohint-gui-1.8.4-r0.apk52.4 KiB2024-05-06 10:51:24
ttfautohint-doc-1.8.4-r0.apk8.1 KiB2024-05-06 10:51:24
ttfautohint-1.8.4-r0.apk24.5 KiB2024-05-06 10:51:24
xonsh-0.16.0-r0.apk577.8 KiB2024-05-06 21:25:00
xonsh-pyc-0.16.0-r0.apk1020.0 KiB2024-05-06 21:25:00
azote-pyc-1.12.7-r0.apk98.2 KiB2024-05-06 21:25:16
azote-1.12.7-r0.apk7.6 MiB2024-05-06 21:25:16
apache-mod-auth-openidc-2.4.15.7-r1.apk190.5 KiB2024-05-07 03:38:51
apache-mod-auth-openidc-doc-2.4.15.7-r1.apk4.7 KiB2024-05-07 03:38:51
apache-mod-auth-openidc-static-2.4.15.7-r1.apk240.2 KiB2024-05-07 03:38:51
hpnssh-doc-18.4.1-r0.apk98.2 KiB2024-05-07 19:34:47
hpnssh-18.4.1-r0.apk2.0 MiB2024-05-07 19:34:47
repowerd-openrc-2023.07-r1.apk1.7 KiB2024-05-07 20:13:39
ma1sd-openrc-2.5.0-r3.apk2.0 KiB2024-05-07 20:13:39
repowerd-2023.07-r1.apk797.0 KiB2024-05-07 20:13:39
ma1sd-2.5.0-r3.apk38.1 MiB2024-05-07 20:13:39
zfsbootmenu-2.3.0-r1.apk128.2 KiB2024-05-07 22:00:02
zfsbootmenu-doc-2.3.0-r1.apk15.8 KiB2024-05-07 22:00:02
rime-ls-0.3.0-r0.apk1.1 MiB2024-05-08 12:21:17
sipgrep-2.2.0-r0.apk24.1 KiB2024-05-08 12:21:17
projectm-presets-3.1.12-r2.apk4.3 MiB2024-05-08 13:01:45
pigpio-openrc-79-r4.apk1.6 KiB2024-05-08 13:01:45
projectm-pulseaudio-doc-3.1.12-r2.apk2.0 KiB2024-05-08 13:01:45
projectm-pulseaudio-3.1.12-r2.apk366.0 KiB2024-05-08 13:01:45
projectm-sdl-3.1.12-r2.apk283.1 KiB2024-05-08 13:01:45
clementine-1.4.0_git20220324-r12.apk6.9 MiB2024-05-08 13:01:45
liblastfm-qt-dev-1.1.10_git20190823-r3.apk19.6 KiB2024-05-08 13:01:45
libmygpo-qt-dev-1.1.0-r2.apk11.9 KiB2024-05-08 13:01:45
libmygpo-qt-1.1.0-r2.apk65.9 KiB2024-05-08 13:01:45
liblastfm-qt-1.1.10_git20190823-r3.apk144.8 KiB2024-05-08 13:01:45
pigpio-dev-79-r4.apk90.8 KiB2024-05-08 13:01:45
projectm-3.1.12-r2.apk398.8 KiB2024-05-08 13:01:45
py3-pigpio-79-r4.apk93.1 KiB2024-05-08 13:01:45
pigpio-doc-79-r4.apk115.3 KiB2024-05-08 13:01:45
projectm-dev-3.1.12-r2.apk631.9 KiB2024-05-08 13:01:45
pigpio-79-r4.apk200.5 KiB2024-05-08 13:01:45
kgraphviewer-dev-2.5.0-r0.apk6.3 KiB2024-05-08 17:44:14
kgraphviewer-lang-2.5.0-r0.apk230.4 KiB2024-05-08 17:44:14
kgraphviewer-2.5.0-r0.apk1.2 MiB2024-05-08 17:44:14
py3-pyqrcode-doc-1.2.1-r0.apk4.3 KiB2024-05-08 22:37:13
py3-pyqrcode-1.2.1-r0.apk36.6 KiB2024-05-08 22:37:13
py3-pyqrcode-pyc-1.2.1-r0.apk47.3 KiB2024-05-08 22:37:13
py3-pytap2-pyc-2.3.0-r0.apk6.0 KiB2024-05-08 22:38:01
py3-pytap2-2.3.0-r0.apk7.0 KiB2024-05-08 22:38:01
py3-pytap2-doc-2.3.0-r0.apk2.8 KiB2024-05-08 22:38:01
bootterm-dbg-0.5-r0.apk2.3 KiB2024-05-09 18:30:44
bootterm-0.5-r0.apk16.6 KiB2024-05-09 18:30:44
waynergy-0.0.17-r0.apk42.4 KiB2024-05-09 19:48:54
tree-sitter-kotlin-0.3.6-r0.apk335.3 KiB2024-05-10 00:34:57
tree-sitter-c-sharp-0.21.2-r0.apk340.2 KiB2024-05-10 00:36:07
featherpad-lang-1.5.1-r0.apk462.8 KiB2024-05-10 00:42:54
featherpad-1.5.1-r0.apk642.9 KiB2024-05-10 00:42:54
pxalarm-3.0.0-r0.apk2.9 KiB2024-05-10 01:52:56
fcitx5-qt-qt6-5.1.6-r0.apk207.5 KiB2024-05-10 03:33:29
fcitx5-qt-5.1.6-r0.apk52.9 KiB2024-05-10 03:33:29
fcitx5-m17n-5.1.1-r0.apk57.1 KiB2024-05-10 03:33:29
fcitx5-chewing-5.1.2-r0.apk27.8 KiB2024-05-10 03:33:29
fcitx5-qt-qt5-5.1.6-r0.apk167.7 KiB2024-05-10 03:33:29
fcitx5-configtool-5.1.5-r0.apk477.4 KiB2024-05-10 03:33:29
fcitx5-rime-5.1.6-r0.apk69.1 KiB2024-05-10 03:33:29
fcitx5-chewing-lang-5.1.2-r0.apk9.2 KiB2024-05-10 03:33:29
fcitx5-hangul-5.1.3-r0.apk45.4 KiB2024-05-10 03:33:29
fcitx5-rime-lang-5.1.6-r0.apk8.8 KiB2024-05-10 03:33:29
fcitx5-gtk-5.1.3-r0.apk15.5 KiB2024-05-10 03:33:29
fcitx5-m17n-lang-5.1.1-r0.apk6.2 KiB2024-05-10 03:33:29
fcitx5-anthy-lang-5.1.4-r0.apk22.2 KiB2024-05-10 03:33:29
fcitx5-chinese-addons-5.1.5-r0.apk1.6 MiB2024-05-10 03:33:29
fcitx5-hangul-lang-5.1.3-r0.apk6.9 KiB2024-05-10 03:33:29
fcitx5-chinese-addons-lang-5.1.5-r0.apk46.8 KiB2024-05-10 03:33:29
fcitx5-qt-dev-5.1.6-r0.apk22.7 KiB2024-05-10 03:33:29
fcitx5-qt-lang-5.1.6-r0.apk13.5 KiB2024-05-10 03:33:29
fcitx5-lua-lang-5.0.13-r0.apk3.8 KiB2024-05-10 03:33:29
fcitx5-kkc-lang-5.1.3-r0.apk11.0 KiB2024-05-10 03:33:29
fcitx5-kkc-5.1.3-r0.apk73.4 KiB2024-05-10 03:33:29
fcitx5-gtk-dev-5.1.3-r0.apk10.2 KiB2024-05-10 03:33:29
fcitx5-anthy-5.1.4-r0.apk133.8 KiB2024-05-10 03:33:29
fcitx5-unikey-5.1.4-r0.apk118.3 KiB2024-05-10 03:33:29
fcitx5-lua-5.0.13-r0.apk32.8 KiB2024-05-10 03:33:29
fcitx5-lua-dev-5.0.13-r0.apk3.2 KiB2024-05-10 03:33:29
fcitx5-gtk4-5.1.3-r0.apk29.0 KiB2024-05-10 03:33:29
fcitx5-table-extra-5.1.5-r0.apk42.3 MiB2024-05-10 03:33:29
fcitx5-configtool-lang-5.1.5-r0.apk46.9 KiB2024-05-10 03:33:29
fcitx5-chinese-addons-dev-5.1.5-r0.apk5.4 KiB2024-05-10 03:33:29
fcitx5-table-other-5.1.2-r0.apk1.4 MiB2024-05-10 03:33:29
fcitx5-gtk3-5.1.3-r0.apk29.9 KiB2024-05-10 03:33:29
fcitx5-unikey-lang-5.1.4-r0.apk14.2 KiB2024-05-10 03:33:29
libime-1.1.7-r0.apk35.2 MiB2024-05-10 03:33:30
libime-dev-1.1.7-r0.apk31.0 KiB2024-05-10 03:33:30
py3-xsdata-24.5-r0.apk185.1 KiB2024-05-10 07:25:09
py3-xsdata-pyc-24.5-r0.apk392.7 KiB2024-05-10 07:25:09
tree-sitter-xml-doc-0.6.3-r0.apk2.3 KiB2024-05-10 12:57:45
tree-sitter-xml-0.6.3-r0.apk28.4 KiB2024-05-10 12:57:45
zsh-history-search-multi-word-doc-0_git20230705-r0.apk17.9 KiB2024-05-10 13:37:16
zsh-history-search-multi-word-0_git20230705-r0.apk12.3 KiB2024-05-10 13:37:16
aide-0.18.8-r0.apk71.9 KiB2024-05-10 15:20:58
aide-doc-0.18.8-r0.apk13.8 KiB2024-05-10 15:20:58
py3-cython-test-exception-raiser-1.0.2-r0.apk15.8 KiB2024-05-10 22:54:07
py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk1.8 KiB2024-05-10 22:54:07
ytmdl-bash-completion-2024.04.14-r0.apk2.3 KiB2024-05-13 08:06:00
ddnrs-openrc-0.3.0-r0.apk2.0 KiB2024-05-13 08:06:00
git-extras-7.2.0-r0.apk56.5 KiB2024-05-13 08:06:00
ttdl-4.3.0-r0.apk790.8 KiB2024-05-13 08:06:00
py3-rst.linker-pyc-2.6.0-r0.apk6.6 KiB2024-05-13 08:06:00
py3-aiowinreg-pyc-0.0.12-r0.apk45.4 KiB2024-05-13 08:06:00
py3-limits-pyc-3.12.0-r0.apk72.1 KiB2024-05-13 08:06:00
surf-doc-2.1-r3.apk4.6 KiB2024-05-13 08:06:00
py3-igraph-dev-0.11.5-r0.apk2.6 KiB2024-05-13 08:06:00
py3-jaraco.versioning-1.1.0-r0.apk5.9 KiB2024-05-13 08:06:00
py3-igraph-pyc-0.11.5-r0.apk373.1 KiB2024-05-13 08:06:00
py3-rst.linker-2.6.0-r0.apk6.1 KiB2024-05-13 08:06:00
rustscan-2.2.3-r0.apk1.3 MiB2024-05-13 08:06:00
ddnrs-0.3.0-r0.apk858.9 KiB2024-05-13 08:06:00
libigraph-dev-0.10.12-r0.apk92.7 KiB2024-05-13 08:06:00
py3-aiowinreg-0.0.12-r0.apk23.1 KiB2024-05-13 08:06:00
py3-limits-3.12.0-r0.apk32.9 KiB2024-05-13 08:06:00
ttdl-doc-4.3.0-r0.apk33.9 KiB2024-05-13 08:06:00
py3-jaraco.versioning-pyc-1.1.0-r0.apk6.1 KiB2024-05-13 08:06:00
git-extras-doc-7.2.0-r0.apk63.9 KiB2024-05-13 08:06:00
ytmdl-pyc-2024.04.14-r0.apk78.8 KiB2024-05-13 08:06:00
py3-igraph-0.11.5-r0.apk392.1 KiB2024-05-13 08:06:00
git-extras-bash-completion-7.2.0-r0.apk2.9 KiB2024-05-13 08:06:00
py3-jaraco.vcs-pyc-2.2.0-r0.apk13.7 KiB2024-05-13 08:06:00
ytmdl-zsh-completion-2024.04.14-r0.apk2.1 KiB2024-05-13 08:06:00
ytmdl-2024.04.14-r0.apk49.2 KiB2024-05-13 08:06:00
py3-jaraco.path-pyc-3.7.0-r0.apk9.4 KiB2024-05-13 08:06:00
libigraph-0.10.12-r0.apk1010.6 KiB2024-05-13 08:06:00
surf-2.1-r3.apk20.2 KiB2024-05-13 08:06:00
py3-winacl-0.1.9-r0.apk83.8 KiB2024-05-13 08:06:00
py3-jaraco.path-3.7.0-r0.apk7.7 KiB2024-05-13 08:06:00
py3-jaraco.vcs-2.2.0-r0.apk9.3 KiB2024-05-13 08:06:00
py3-winacl-pyc-0.1.9-r0.apk132.0 KiB2024-05-13 08:06:00
py3-pyinstaller-pyc-6.6.0-r0.apk502.3 KiB2024-05-13 17:33:09
knxd-dev-0.14.61-r0.apk22.7 KiB2024-05-13 17:33:09
knxd-0.14.61-r0.apk357.2 KiB2024-05-13 17:33:09
py3-pyinstaller-6.6.0-r0.apk1.9 MiB2024-05-13 17:33:09
snapper-dev-0.11.0-r0.apk10.7 KiB2024-05-14 12:12:44
snapper-doc-0.11.0-r0.apk20.8 KiB2024-05-14 12:12:44
snapper-0.11.0-r0.apk705.6 KiB2024-05-14 12:12:44
snapper-bash-completion-0.11.0-r0.apk3.1 KiB2024-05-14 12:12:44
snapper-zsh-completion-0.11.0-r0.apk3.6 KiB2024-05-14 12:12:44
snapper-lang-0.11.0-r0.apk158.1 KiB2024-05-14 12:12:44
platformio-core-6.1.7-r2.apk248.9 KiB2024-05-14 16:33:11
platformio-core-pyc-6.1.7-r2.apk551.7 KiB2024-05-14 16:33:11
aero2solver-1.1.0-r0.apk22.0 MiB2024-05-16 17:54:37
gdcm-3.0.24-r0.apk354.2 KiB2024-05-16 17:54:37
java-gdcm-3.0.24-r0.apk579.2 KiB2024-05-16 17:54:37
gdcm-doc-3.0.24-r0.apk55.4 KiB2024-05-16 17:54:37
gdcm-dev-3.0.24-r0.apk462.8 KiB2024-05-16 17:54:37
gdcm-doc-html-3.0.24-r0.apk8.7 MiB2024-05-16 17:54:37
gdcm-doc-pdf-3.0.24-r0.apk13.6 MiB2024-05-16 17:54:37
aero2solver-openrc-1.1.0-r0.apk2.1 KiB2024-05-16 17:54:37
py3-gdcm-3.0.24-r0.apk658.6 KiB2024-05-16 17:54:37
libgdcm-3.0.24-r0.apk2.5 MiB2024-05-16 17:54:37
aero2solver-doc-1.1.0-r0.apk2.2 KiB2024-05-16 17:54:37
libexmdbpp-dev-1.11-r1.apk24.0 KiB2024-05-17 01:07:28
libexmdbpp-1.11-r1.apk59.7 KiB2024-05-17 01:07:28
octoprint-pyc-1.10.1-r0.apk1.2 MiB2024-05-17 01:07:28
py3-pyexmdb-1.11-r1.apk107.0 KiB2024-05-17 01:07:28
octoprint-openrc-1.10.1-r0.apk1.8 KiB2024-05-17 01:07:28
octoprint-1.10.1-r0.apk3.1 MiB2024-05-17 01:07:28
ddcci-driver-linux-src-0.4.4-r3.apk19.1 KiB2024-05-17 11:38:02
lidarr-openrc-2.3.3.4204-r0.apk2.1 KiB2024-05-17 12:50:59
lidarr-2.3.3.4204-r0.apk16.0 MiB2024-05-17 12:50:59
hexer-dev-1.4.0-r15.apk7.8 KiB2024-05-19 00:22:14
hexer-1.4.0-r15.apk53.6 KiB2024-05-19 00:22:14
jotdown-0.4.0-r0.apk185.8 KiB2024-05-19 00:22:18
mapserver-dev-8.0.1-r4.apk539.1 KiB2024-05-19 00:22:34
mapnik-3.1.0-r26.apk9.9 MiB2024-05-19 00:22:34
mapnik-dev-3.1.0-r26.apk470.5 KiB2024-05-19 00:22:34
mapserver-8.0.1-r4.apk1.2 MiB2024-05-19 00:22:34
mapnik-doc-3.1.0-r26.apk135.2 KiB2024-05-19 00:22:34
py3-qgis-3.28.12-r6.apk17.6 MiB2024-05-19 00:22:41
qgis-grass-3.28.12-r6.apk1.5 MiB2024-05-19 00:22:43
qgis-dev-3.28.12-r6.apk2.5 MiB2024-05-19 00:22:43
qgis-doc-3.28.12-r6.apk3.0 KiB2024-05-19 00:22:43
qgis-3.28.12-r6.apk43.3 MiB2024-05-19 00:22:43
qgis-lang-3.28.12-r6.apk29.7 MiB2024-05-19 00:22:44
qgis-server-3.28.12-r6.apk1.5 MiB2024-05-19 00:22:44
lomiri-location-service-dev-3.1.0-r1.apk31.6 KiB2024-05-19 09:53:31
lomiri-trust-store-dev-2.0.2-r1.apk9.5 KiB2024-05-19 09:53:31
lomiri-trust-store-2.0.2-r1.apk850.4 KiB2024-05-19 09:53:31
lomiri-location-service-lang-3.1.0-r1.apk24.3 KiB2024-05-19 09:53:31
lomiri-location-service-3.1.0-r1.apk1.9 MiB2024-05-19 09:53:31
lomiri-trust-store-lang-2.0.2-r1.apk32.0 KiB2024-05-19 09:53:31
lomiri-location-service-doc-3.1.0-r1.apk2.9 KiB2024-05-19 09:53:31
notification-daemon-3.20.0-r0.apk56.7 KiB2024-05-19 13:12:03
nfoview-doc-2.0.1-r0.apk8.0 KiB2024-05-19 14:05:18
nfoview-2.0.1-r0.apk38.9 KiB2024-05-19 14:05:18
git-quick-stats-doc-2.5.6-r0.apk2.9 KiB2024-05-19 15:27:22
git-quick-stats-2.5.6-r0.apk11.1 KiB2024-05-19 15:27:22
apk-tools3-dbg-3.0.0_pre2_git20240401-r1.apk569.8 KiB2024-05-19 23:22:04
apk-tools3-dev-3.0.0_pre2_git20240401-r1.apk630.3 KiB2024-05-19 23:22:04
apk-tools3-libs-3.0.0_pre2_git20240401-r1.apk103.1 KiB2024-05-19 23:22:04
lua5.3-apk3-3.0.0_pre2_git20240401-r1.apk5.1 KiB2024-05-19 23:22:04
apk-tools3-3.0.0_pre2_git20240401-r1.apk42.7 KiB2024-05-19 23:22:04
apk-tools3-doc-3.0.0_pre2_git20240401-r1.apk33.4 KiB2024-05-19 23:22:04
apk-tools3-static-3.0.0_pre2_git20240401-r1.apk1.8 MiB2024-05-19 23:22:04
mimalloc1-dev-1.8.6-r0.apk386.0 KiB2024-05-20 00:03:42
mimalloc1-debug-1.8.6-r0.apk178.2 KiB2024-05-20 00:03:42
mimalloc1-insecure-1.8.6-r0.apk49.3 KiB2024-05-20 00:03:42
mimalloc1-1.8.6-r0.apk53.6 KiB2024-05-20 00:03:42
openvpn3-3.8.5-r0.apk354.9 KiB2024-05-20 07:07:41
openvpn3-dev-3.8.5-r0.apk686.3 KiB2024-05-20 07:07:41
perl-glib-ex-objectbits-17-r0.apk15.0 KiB2024-05-20 07:17:21
perl-glib-ex-objectbits-doc-17-r0.apk22.4 KiB2024-05-20 07:17:21
py3-plexapi-4.15.13-r0.apk152.3 KiB2024-05-20 07:26:14
py3-plexapi-pyc-4.15.13-r0.apk303.0 KiB2024-05-20 07:26:14
py3-plexapi-doc-4.15.13-r0.apk82.5 KiB2024-05-20 07:26:14
compiz-utils-0.9.14.2-r5.apk3.3 KiB2024-05-20 07:34:21
compiz-lang-0.9.14.2-r5.apk1.2 MiB2024-05-20 07:34:21
compiz-dev-0.9.14.2-r5.apk121.0 KiB2024-05-20 07:34:21
compiz-0.9.14.2-r5.apk5.2 MiB2024-05-20 07:34:21
compiz-pyc-0.9.14.2-r5.apk112.0 KiB2024-05-20 07:34:21
py3-flask-limiter-pyc-3.7.0-r0.apk47.6 KiB2024-05-20 07:38:12
py3-flask-limiter-3.7.0-r0.apk26.2 KiB2024-05-20 07:38:12
mdbook-katex-0.8.1-r0.apk536.4 KiB2024-05-20 07:58:06
zfs-src-2.2.4-r0.apk32.5 MiB2024-05-20 09:25:37
ardour-7.5.0-r3.apk21.8 MiB2024-05-20 10:15:46
emulationstation-2.11.2-r1.apk1.1 MiB2024-05-21 16:14:15
emulationstation-theme-gbz35-2.11.2-r1.apk3.3 MiB2024-05-21 16:14:15
supercollider-3.13.0-r5.apk7.2 MiB2024-05-21 16:39:53
supercollider-dev-3.13.0-r5.apk40.7 KiB2024-05-21 16:39:53
php83-pecl-phalcon-5.7.0-r0.apk1.8 MiB2024-05-21 17:01:54
php82-pecl-phalcon-5.7.0-r0.apk1.8 MiB2024-05-21 17:01:54
hyprland-doc-0.40.0-r0.apk3.4 KiB2024-05-21 21:52:09
hyprland-dev-0.40.0-r0.apk713.8 KiB2024-05-21 21:52:09
hyprcursor-doc-0.1.8-r0.apk5.1 KiB2024-05-21 21:52:09
hyprland-0.40.0-r0.apk1.5 MiB2024-05-21 21:52:09
hyprcursor-dev-0.1.8-r0.apk4.9 KiB2024-05-21 21:52:09
hyprcursor-util-0.1.8-r0.apk93.5 KiB2024-05-21 21:52:09
hyprcursor-0.1.8-r0.apk121.0 KiB2024-05-21 21:52:09
hyprwayland-scanner-doc-0.3.8-r0.apk2.4 KiB2024-05-21 21:52:10
hyprland-wallpapers-0.40.0-r0.apk45.0 MiB2024-05-21 21:52:10
hyprwayland-scanner-0.3.8-r0.apk60.9 KiB2024-05-21 21:52:10
convert2json-0.8.2-r1.apk1.7 MiB2024-05-22 07:40:25
py3-sphobjinv-2.3.1.1-r0.apk40.1 KiB2024-05-22 14:09:36
py3-sphobjinv-pyc-2.3.1.1-r0.apk52.1 KiB2024-05-22 14:09:36
biometryd-0.3.1-r1.apk265.4 KiB2024-05-22 18:34:31
biometryd-dev-0.3.1-r1.apk14.2 KiB2024-05-22 18:34:31
perl-xml-rpc-doc-2.1-r0.apk4.9 KiB2024-05-22 20:04:06
perl-xml-rpc-2.1-r0.apk5.7 KiB2024-05-22 20:04:06
diskus-0.7.0-r2.apk287.1 KiB2024-05-22 21:31:27
libdbusmenu-lxqt-doc-0.1.0-r0.apk123.2 KiB2024-05-23 02:03:27
libdbusmenu-lxqt-0.1.0-r0.apk66.4 KiB2024-05-23 02:03:27
libdbusmenu-lxqt-dev-0.1.0-r0.apk8.2 KiB2024-05-23 02:03:27
racksdb-0.4.0-r0.apk59.2 KiB2024-05-23 09:34:35
racksdb-pyc-0.4.0-r0.apk88.7 KiB2024-05-23 09:34:35
racksdb-doc-0.4.0-r0.apk17.7 KiB2024-05-23 09:34:35
create-tauri-app-doc-4.0.0-r0.apk6.2 KiB2024-05-23 14:08:33
create-tauri-app-4.0.0-r0.apk596.3 KiB2024-05-23 14:08:33
clustershell-1.9.2-r1.apk158.2 KiB2024-05-23 21:17:12
clustershell-pyc-1.9.2-r1.apk378.0 KiB2024-05-23 21:17:12
clustershell-doc-1.9.2-r1.apk24.3 KiB2024-05-23 21:17:12
py3-fastapi-pyc-0.111.0-r0.apk141.8 KiB2024-05-24 12:53:09
py3-fastapi-0.111.0-r0.apk83.2 KiB2024-05-24 12:53:09
sqawk-0.24.0-r0.apk14.3 KiB2024-05-24 16:26:04
sqawk-doc-0.24.0-r0.apk113.1 KiB2024-05-24 16:26:04
ueberzug-pyc-18.2.3-r0.apk64.4 KiB2024-05-24 17:43:10
ueberzug-18.2.3-r0.apk62.5 KiB2024-05-24 17:43:10
opentelemetry-cpp-exporter-otlp-http-1.11.0-r3.apk60.4 KiB2024-05-25 05:22:22
opentelemetry-cpp-exporter-zipkin-1.11.0-r3.apk45.2 KiB2024-05-25 05:22:22
opentelemetry-cpp-dev-1.11.0-r3.apk334.9 KiB2024-05-25 05:22:22
opentelemetry-cpp-1.11.0-r3.apk494.8 KiB2024-05-25 05:22:22
opentelemetry-cpp-exporter-otlp-common-1.11.0-r3.apk29.6 KiB2024-05-25 05:22:22
opentelemetry-cpp-exporter-otlp-grpc-1.11.0-r3.apk31.5 KiB2024-05-25 05:22:22
apulse-0.1.13-r2.apk33.5 KiB2024-05-25 10:05:25
apulse-doc-0.1.13-r2.apk2.8 KiB2024-05-25 10:05:25
virtme-ng-1.25-r0.apk165.9 KiB2024-05-25 11:31:11
virtme-ng-bash-completion-1.25-r0.apk2.7 KiB2024-05-25 11:31:11
fceux-doc-2.6.6-r2.apk105.8 KiB2024-05-25 13:02:06
fceux-2.6.6-r2.apk2.8 MiB2024-05-25 13:02:06
py3-pycaption-2.2.10-r0.apk358.9 KiB2024-05-26 03:46:30
py3-dunamai-1.21.1-r0.apk26.2 KiB2024-05-26 14:59:57
py3-dunamai-pyc-1.21.1-r0.apk42.1 KiB2024-05-26 14:59:57
py3-openapi-core-0.19.1-r0.apk72.2 KiB2024-05-27 02:18:42
py3-falcon-pyc-3.1.3-r0.apk336.7 KiB2024-05-27 02:18:42
py3-aioitertools-pyc-0.11.0-r0.apk51.9 KiB2024-05-27 02:18:42
py3-aioitertools-0.11.0-r0.apk21.1 KiB2024-05-27 02:18:42
py3-falcon-3.1.3-r0.apk1.5 MiB2024-05-27 02:18:42
py3-mattermostdriver-pyc-7.3.2-r0.apk32.4 KiB2024-05-27 02:18:42
py3-mattermostdriver-7.3.2-r0.apk21.0 KiB2024-05-27 02:18:42
py3-mujson-1.4-r0.apk8.2 KiB2024-05-27 02:18:42
py3-openapi-core-pyc-0.19.1-r0.apk154.3 KiB2024-05-27 02:18:42
py3-mujson-pyc-1.4-r0.apk5.7 KiB2024-05-27 02:18:42
gedit-plugins-47.1-r0.apk129.8 KiB2024-05-27 05:44:55
libgedit-gtksourceview-dev-299.2.1-r0.apk104.7 KiB2024-05-27 05:44:55
gedit-lang-47.0-r0.apk2.2 MiB2024-05-27 05:44:55
gedit-plugins-doc-47.1-r0.apk204.3 KiB2024-05-27 05:44:55
libgedit-gfls-doc-0.1.0-r0.apk17.0 KiB2024-05-27 05:44:55
gedit-dev-47.0-r0.apk10.8 KiB2024-05-27 05:44:55
gedit-47.0-r0.apk516.1 KiB2024-05-27 05:44:55
gedit-doc-47.0-r0.apk751.8 KiB2024-05-27 05:44:55
libgedit-gfls-dev-0.1.0-r0.apk9.0 KiB2024-05-27 05:44:55
libgedit-gtksourceview-lang-299.2.1-r0.apk124.2 KiB2024-05-27 05:44:55
libgedit-gtksourceview-299.2.1-r0.apk460.5 KiB2024-05-27 05:44:55
gedit-plugins-lang-47.1-r0.apk570.9 KiB2024-05-27 05:44:55
libgedit-gfls-0.1.0-r0.apk8.3 KiB2024-05-27 05:44:55
gedit-plugins-pyc-47.1-r0.apk97.8 KiB2024-05-27 05:44:55
libgedit-gtksourceview-doc-299.2.1-r0.apk172.3 KiB2024-05-27 05:44:55
py3-sphinx-autoapi-pyc-3.1.1-r0.apk55.8 KiB2024-05-27 14:53:06
py3-sphinx-autoapi-3.1.1-r0.apk31.1 KiB2024-05-27 14:53:06
py3-poetry-dynamic-versioning-pyc-1.3.0-r0.apk23.7 KiB2024-05-27 17:53:47
py3-poetry-dynamic-versioning-1.3.0-r0.apk18.9 KiB2024-05-27 17:53:47
android-translation-layer-0_git20240527-r0.apk4.7 MiB2024-05-28 11:36:06
android-translation-layer-dbg-0_git20240527-r0.apk609.8 KiB2024-05-28 11:36:06
art_standalone-0_git20240525-r0.apk17.5 MiB2024-05-28 11:36:07
art_standalone-dbg-0_git20240525-r0.apk131.9 MiB2024-05-28 11:36:08
bionic_translation-dbg-0_git20240525-r0.apk123.6 KiB2024-05-28 11:36:09
bionic_translation-0_git20240525-r0.apk39.9 KiB2024-05-28 11:36:09
bionic_translation-dev-0_git20240525-r0.apk1.7 KiB2024-05-28 11:36:09
art_standalone-dev-0_git20240525-r0.apk8.6 MiB2024-05-28 11:36:09
vectoroids-1.1.0-r2.apk280.8 KiB2024-05-28 11:36:24
vectoroids-doc-1.1.0-r2.apk2.3 KiB2024-05-28 11:36:24
sqlmap-1.8.5-r0.apk6.8 MiB2024-05-28 19:04:46
sqlmap-pyc-1.8.5-r0.apk1.2 MiB2024-05-28 19:04:46
py3-nose-of-yeti-pyc-2.4.9-r0.apk36.6 KiB2024-05-28 19:16:18
py3-nose-of-yeti-2.4.9-r0.apk25.7 KiB2024-05-28 19:16:18
pipeline-lang-1.15.0-r0.apk34.1 KiB2024-05-28 22:45:54
pipeline-1.15.0-r0.apk2.7 MiB2024-05-28 22:45:54
pipeline-doc-1.15.0-r0.apk13.6 KiB2024-05-28 22:45:54
py3-daemon-3.0.1-r0.apk30.7 KiB2024-05-29 01:30:10
py3-daemon-pyc-3.0.1-r0.apk19.5 KiB2024-05-29 01:30:10
f_scripts-f_timer-0.6-r0.apk2.4 KiB2024-05-29 16:24:15
f_scripts-f_rss-0.6-r0.apk2.5 KiB2024-05-29 16:24:15
f_scripts-f_networks-0.6-r0.apk3.0 KiB2024-05-29 16:24:15
f_scripts-f_game-0.6-r0.apk1.9 KiB2024-05-29 16:24:15
f_scripts-f_maps-0.6-r0.apk2.1 KiB2024-05-29 16:24:15
f_scripts-0.6-r0.apk1.4 KiB2024-05-29 16:24:15
f_scripts-f_youtube-0.6-r0.apk2.8 KiB2024-05-29 16:24:15
f_scripts-f_files-0.6-r0.apk2.9 KiB2024-05-29 16:24:15
f_scripts-f_web-0.6-r0.apk2.9 KiB2024-05-29 16:24:15
f_scripts-f_phone-0.6-r0.apk6.0 KiB2024-05-29 16:24:15
f_scripts-f_audio-0.6-r0.apk3.3 KiB2024-05-29 16:24:15
f_scripts-f_theme-0.6-r0.apk2.6 KiB2024-05-29 16:24:15
libgedit-amtk-doc-5.8.0-r1.apk42.0 KiB2024-05-29 18:03:37
libgedit-tepl-doc-6.10.0-r1.apk126.6 KiB2024-05-29 18:03:37
libgedit-tepl-6.10.0-r1.apk94.1 KiB2024-05-29 18:03:37
libgedit-tepl-dev-6.10.0-r1.apk76.8 KiB2024-05-29 18:03:37
libgedit-amtk-5.8.0-r1.apk21.4 KiB2024-05-29 18:03:37
libgedit-tepl-lang-6.10.0-r1.apk47.2 KiB2024-05-29 18:03:37
libgedit-amtk-lang-5.8.0-r1.apk29.3 KiB2024-05-29 18:03:37
libgedit-amtk-dev-5.8.0-r1.apk22.4 KiB2024-05-29 18:03:37
py3-django-debug-toolbar-4.4.2-r0.apk146.3 KiB2024-05-29 21:29:44
py3-django-debug-toolbar-pyc-4.4.2-r0.apk80.4 KiB2024-05-29 21:29:44
bitritter-0.1.1-r0.apk1.9 MiB2024-05-30 04:32:28
py3-yara-4.5.1-r0.apk16.3 KiB2024-05-30 09:14:40
py3-qbittorrent-api-pyc-2024.5.62-r0.apk93.7 KiB2024-05-30 16:16:15
py3-qbittorrent-api-doc-2024.5.62-r0.apk28.1 KiB2024-05-30 16:16:15
py3-qbittorrent-api-2024.5.62-r0.apk55.9 KiB2024-05-30 16:16:15
sonarr-openrc-4.0.5.1710-r0.apk2.1 KiB2024-05-30 16:20:50
sonarr-4.0.5.1710-r0.apk23.0 MiB2024-05-30 16:20:50
level-zero-1.17.6-r0.apk158.8 KiB2024-05-30 16:25:54
level-zero-dev-1.17.6-r0.apk277.3 KiB2024-05-30 16:25:54
py3-pyglet-pyc-2.0.15-r0.apk1.5 MiB2024-05-30 18:14:15
py3-pyglet-2.0.15-r0.apk812.5 KiB2024-05-30 18:14:15
py3-pbs-installer-2024.4.24-r0.apk42.5 KiB2024-05-30 20:05:50
py3-pbs-installer-pyc-2024.4.24-r0.apk48.0 KiB2024-05-30 20:05:50
grommunio-admin-common-1.0_git20240313-r1.apk9.2 KiB2024-05-30 20:10:00
grommunio-common-1.0-r2.apk6.3 KiB2024-05-30 20:10:00
grommunio-common-openrc-1.0-r2.apk1.7 KiB2024-05-30 20:10:00
hy-0.29.0-r0.apk80.1 KiB2024-05-30 21:30:57
hy-pyc-0.29.0-r0.apk168.6 KiB2024-05-30 21:30:57
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk357.8 KiB2024-05-31 06:14:03
py3-ovos-workshop-0.0.15-r0.apk81.0 KiB2024-05-31 06:14:03
py3-ovos-workshop-pyc-0.0.15-r0.apk145.0 KiB2024-05-31 06:14:03
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk8.2 KiB2024-05-31 06:14:03
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk4.1 KiB2024-05-31 06:14:03
ovos-core-0.0.8_alpha105-r0.apk17.2 MiB2024-05-31 06:14:03
py3-ovos-backend-client-0.1.0-r0.apk40.8 KiB2024-05-31 06:14:03
py3-ovos-utils-0.0.38-r0.apk113.8 KiB2024-05-31 06:14:03
py3-ovos-plugin-manager-0.0.25-r0.apk71.5 KiB2024-05-31 06:14:03
py3-ovos-bus-client-pyc-0.0.8-r0.apk72.8 KiB2024-05-31 06:14:03
py3-ovos-config-0.0.12-r0.apk30.6 KiB2024-05-31 06:14:03
py3-ovos-audio-plugin-simple-0.0.1-r0.apk10.0 KiB2024-05-31 06:14:03
py3-ovos-bus-client-0.0.8-r0.apk42.7 KiB2024-05-31 06:14:03
py3-ovos-backend-client-pyc-0.1.0-r0.apk89.9 KiB2024-05-31 06:14:03
py3-padacioso-0.2.1-r0.apk11.4 KiB2024-05-31 06:14:03
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk436.7 KiB2024-05-31 06:14:03
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk86.0 KiB2024-05-31 06:14:03
py3-padacioso-pyc-0.2.1-r0.apk12.3 KiB2024-05-31 06:14:03
py3-ovos-translate-server-plugin-0.0.0-r0.apk8.3 KiB2024-05-31 06:14:03
py3-ovos-utils-pyc-0.0.38-r0.apk210.6 KiB2024-05-31 06:14:03
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk550.2 KiB2024-05-31 06:14:03
py3-ovos-plugin-manager-pyc-0.0.25-r0.apk132.3 KiB2024-05-31 06:14:03
py3-ovos-config-pyc-0.0.12-r0.apk32.9 KiB2024-05-31 06:14:03
py3-ovos-classifiers-0.0.0_alpha53-r0.apk103.0 KiB2024-05-31 06:14:03
py3-ovos-ocp-m3u-plugin-pyc-0.0.2_alpha2-r0.apk3.4 KiB2024-05-31 06:14:03
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk9.5 KiB2024-05-31 06:14:03
ovos-core-pyc-0.0.8_alpha105-r0.apk254.0 KiB2024-05-31 06:14:03
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk5.4 KiB2024-05-31 06:14:03
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk163.5 KiB2024-05-31 06:14:03
py3-ovos-ocp-m3u-plugin-0.0.2_alpha2-r0.apk8.1 KiB2024-05-31 06:14:03
kakoune-lsp-17.0.1-r0.apk1.9 MiB2024-05-31 10:54:02
tick-doc-1.2.0-r0.apk5.5 KiB2024-05-31 13:22:18
tick-1.2.0-r0.apk9.7 KiB2024-05-31 13:22:18
swi-prolog-9.2.5-r0.apk4.5 MiB2024-05-31 13:30:25
swi-prolog-doc-9.2.5-r0.apk2.1 MiB2024-05-31 13:30:25
swi-prolog-xpce-9.2.5-r0.apk942.5 KiB2024-05-31 13:30:25
swi-prolog-xpce-doc-9.2.5-r0.apk1.0 MiB2024-05-31 13:30:25
swi-prolog-pyc-9.2.5-r0.apk22.5 KiB2024-05-31 13:30:25
dinit-alpine-0_git20240128-r1.apk8.3 KiB2024-05-31 20:53:25
cargo-crev-0.25.5-r2.apk4.8 MiB2024-06-02 14:54:17
librewolf-126.0.1_p1-r0.apk73.5 MiB2024-06-02 14:54:18
lomiri-history-service-dev-0.5-r0.apk12.1 KiB2024-06-02 14:54:18
poke-doc-4.1-r0.apk200.6 KiB2024-06-02 14:54:18
librewolf-dbg-126.0.1_p1-r0.apk9.4 MiB2024-06-02 14:54:18
librewolf-intl-126.0.1_p1-r0.apk1.5 KiB2024-06-02 14:54:18
s-dkim-sign-doc-0.6.2-r0.apk8.5 KiB2024-06-02 14:54:18
poke-4.1-r0.apk1.1 MiB2024-06-02 14:54:18
lomiri-history-service-0.5-r0.apk310.4 KiB2024-06-02 14:54:18
lutgen-fish-completion-0.10.1-r0.apk7.5 KiB2024-06-02 14:54:18
lutgen-bash-completion-0.10.1-r0.apk7.2 KiB2024-06-02 14:54:18
lutgen-0.10.1-r0.apk1.5 MiB2024-06-02 14:54:18
s-dkim-sign-0.6.2-r0.apk47.8 KiB2024-06-02 14:54:18
lutgen-zsh-completion-0.10.1-r0.apk8.5 KiB2024-06-02 14:54:18
dinit-shutdown-0.18.0-r2.apk16.2 KiB2024-06-02 15:18:16
dinit-0.18.0-r2.apk149.8 KiB2024-06-02 15:18:16
dinit-doc-0.18.0-r2.apk29.0 KiB2024-06-02 15:18:16
py3-jaraco.stream-pyc-3.0.3-r0.apk8.2 KiB2024-06-02 17:39:52
heisenbridge-pyc-1.14.6-r0.apk152.0 KiB2024-06-02 17:39:52
heisenbridge-1.14.6-r0.apk66.6 KiB2024-06-02 17:39:52
py3-jaraco.logging-pyc-3.3.0-r0.apk5.8 KiB2024-06-02 17:39:52
py3-jaraco.stream-3.0.3-r0.apk6.9 KiB2024-06-02 17:39:52
py3-jaraco.logging-3.3.0-r0.apk6.0 KiB2024-06-02 17:39:52
ovos-audio-0.0.2_alpha42-r1.apk133.6 KiB2024-06-02 20:26:54
ovos-audio-pyc-0.0.2_alpha42-r1.apk32.6 KiB2024-06-02 20:26:54
typescript-5.4.5-r0.apk5.6 MiB2024-06-02 20:48:56
flawz-doc-0.2.1-r0.apk5.9 KiB2024-06-02 20:58:12
flawz-zsh-completion-0.2.1-r0.apk2.2 KiB2024-06-02 20:58:12
flawz-bash-completion-0.2.1-r0.apk2.2 KiB2024-06-02 20:58:12
flawz-0.2.1-r0.apk1.1 MiB2024-06-02 20:58:12
flawz-fish-completion-0.2.1-r0.apk1.9 KiB2024-06-02 20:58:12
jami-daemon-4.0.0_git20230925-r2.apk4.1 MiB2024-06-03 06:22:22
jami-daemon-dev-4.0.0_git20230925-r2.apk22.3 KiB2024-06-03 06:22:22
netscanner-doc-0.5.1-r1.apk3.3 KiB2024-06-03 18:40:21
netscanner-0.5.1-r1.apk3.4 MiB2024-06-03 18:40:21
py3-itemloaders-1.3.1-r0.apk12.5 KiB2024-06-03 20:41:14
py3-itemloaders-pyc-1.3.1-r0.apk16.6 KiB2024-06-03 20:41:14
py3-truststore-pyc-0.9.1-r0.apk23.9 KiB2024-06-03 20:42:29
py3-truststore-0.9.1-r0.apk16.9 KiB2024-06-03 20:42:29
py3-hishel-pyc-0.0.27-r0.apk68.5 KiB2024-06-03 20:49:51
py3-hishel-0.0.27-r0.apk31.3 KiB2024-06-03 20:49:51
php82-pecl-zephir_parser-1.6.1-r0.apk63.0 KiB2024-06-03 23:08:58
php81-pecl-zephir_parser-1.6.1-r0.apk63.0 KiB2024-06-03 23:08:58
php81-pecl-msgpack-2.2.0-r2.apk24.2 KiB2024-06-04 00:37:26
php81-pecl-xhprof-assets-2.3.9-r3.apk800.6 KiB2024-06-04 00:37:26
php81-pecl-redis-6.0.2-r2.apk180.9 KiB2024-06-04 00:37:26
php81-pecl-apcu-5.1.23-r2.apk51.5 KiB2024-06-04 00:37:26
php81-pecl-xhprof-2.3.9-r3.apk11.3 KiB2024-06-04 00:37:26
php81-pecl-brotli-0.15.0-r2.apk9.9 KiB2024-06-04 00:37:26
php81-pecl-xdebug-3.3.2-r2.apk131.9 KiB2024-06-04 00:37:26
py3-sphinx-autodoc-typehints-2.1.1-r0.apk19.3 KiB2024-06-04 09:47:00
py3-sphinx-autodoc-typehints-pyc-2.1.1-r0.apk27.5 KiB2024-06-04 09:47:00
php81-pecl-grpc-1.64.1-r1.apk3.4 MiB2024-06-04 11:48:34
gamemode-dev-0_git20240327-r0.apk5.2 KiB2024-06-04 11:51:18
gamemode-doc-0_git20240327-r0.apk7.6 KiB2024-06-04 11:51:18
gamemode-0_git20240327-r0.apk69.4 KiB2024-06-04 11:51:18
trunk-0.20.2-r0.apk4.1 MiB2024-06-05 16:05:03
perl-minion-10.30-r0.apk1.5 MiB2024-06-05 17:55:10
perl-minion-doc-10.30-r0.apk48.9 KiB2024-06-05 17:55:10
perl-minion-backend-pg-10.30-r0.apk9.6 KiB2024-06-05 17:55:10
listenbrainz-mpd-doc-2.3.7-r0.apk14.4 KiB2024-06-05 17:57:59
listenbrainz-mpd-zsh-completion-2.3.7-r0.apk2.1 KiB2024-06-05 17:57:59
listenbrainz-mpd-fish-completion-2.3.7-r0.apk1.8 KiB2024-06-05 17:57:59
listenbrainz-mpd-2.3.7-r0.apk1.1 MiB2024-06-05 17:57:59
listenbrainz-mpd-bash-completion-2.3.7-r0.apk2.1 KiB2024-06-05 17:57:59
arcticons-icon-theme-light-9.6.5.0-r0.apk423.4 KiB2024-06-05 17:58:20
arcticons-icon-theme-dark-9.6.5.0-r0.apk423.5 KiB2024-06-05 17:58:20
arcticons-icon-theme-9.6.5.0-r0.apk1.4 KiB2024-06-05 17:58:20
mixxx-2.4.1-r0.apk17.3 MiB2024-06-05 21:00:48
libdjinterop-dev-0.20.2-r0.apk37.6 KiB2024-06-05 21:00:48
libdjinterop-0.20.2-r0.apk376.0 KiB2024-06-05 21:00:48
mixxx-doc-2.4.1-r0.apk160.3 KiB2024-06-05 21:00:48
onnxruntime-1.18.0-r0.apk4.2 MiB2024-06-06 15:25:24
onnxruntime-dev-1.18.0-r0.apk87.7 KiB2024-06-06 15:25:24
py3-onnxruntime-pyc-1.18.0-r0.apk1.2 MiB2024-06-06 15:25:24
py3-onnxruntime-1.18.0-r0.apk5.1 MiB2024-06-06 15:25:24
php81-pecl-mongodb-1.19.2-r0.apk768.1 KiB2024-06-06 15:55:55
php81-8.1.29-r0.apk1.7 MiB2024-06-06 20:03:50
php81-bz2-8.1.29-r0.apk9.2 KiB2024-06-06 20:03:50
php81-calendar-8.1.29-r0.apk12.7 KiB2024-06-06 20:03:50
php81-bcmath-8.1.29-r0.apk14.8 KiB2024-06-06 20:03:50
php81-apache2-8.1.29-r0.apk1.7 MiB2024-06-06 20:03:50
php81-sysvmsg-8.1.29-r0.apk7.2 KiB2024-06-06 20:03:51
php81-cgi-8.1.29-r0.apk1.7 MiB2024-06-06 20:03:51
php81-dev-8.1.29-r0.apk950.9 KiB2024-06-06 20:03:51
php81-exif-8.1.29-r0.apk30.0 KiB2024-06-06 20:03:51
php81-pdo_pgsql-8.1.29-r0.apk17.6 KiB2024-06-06 20:03:51
php81-pdo_mysql-8.1.29-r0.apk12.3 KiB2024-06-06 20:03:51
php81-ftp-8.1.29-r0.apk21.6 KiB2024-06-06 20:03:51
php81-pear-8.1.29-r0.apk343.6 KiB2024-06-06 20:03:51
php81-sysvshm-8.1.29-r0.apk6.4 KiB2024-06-06 20:03:51
php81-enchant-8.1.29-r0.apk8.1 KiB2024-06-06 20:03:51
php81-pcntl-8.1.29-r0.apk12.8 KiB2024-06-06 20:03:51
php81-pdo_sqlite-8.1.29-r0.apk11.5 KiB2024-06-06 20:03:51
php81-gmp-8.1.29-r0.apk20.6 KiB2024-06-06 20:03:51
php81-litespeed-8.1.29-r0.apk1.7 MiB2024-06-06 20:03:51
php81-mbstring-8.1.29-r0.apk560.1 KiB2024-06-06 20:03:51
php81-pdo-8.1.29-r0.apk38.4 KiB2024-06-06 20:03:51
php81-doc-8.1.29-r0.apk67.6 KiB2024-06-06 20:03:51
php81-posix-8.1.29-r0.apk10.3 KiB2024-06-06 20:03:51
php81-pgsql-8.1.29-r0.apk41.9 KiB2024-06-06 20:03:51
php81-fpm-8.1.29-r0.apk1.7 MiB2024-06-06 20:03:51
php81-phpdbg-8.1.29-r0.apk1.7 MiB2024-06-06 20:03:51
php81-sqlite3-8.1.29-r0.apk19.0 KiB2024-06-06 20:03:51
php81-tokenizer-8.1.29-r0.apk11.3 KiB2024-06-06 20:03:51
php81-shmop-8.1.29-r0.apk5.9 KiB2024-06-06 20:03:51
php81-mysqli-8.1.29-r0.apk39.9 KiB2024-06-06 20:03:51
php81-xmlwriter-8.1.29-r0.apk11.3 KiB2024-06-06 20:03:51
php81-curl-8.1.29-r0.apk34.9 KiB2024-06-06 20:03:51
php81-ffi-8.1.29-r0.apk63.4 KiB2024-06-06 20:03:51
php81-sodium-8.1.29-r0.apk26.2 KiB2024-06-06 20:03:51
php81-ldap-8.1.29-r0.apk29.4 KiB2024-06-06 20:03:51
php81-xml-8.1.29-r0.apk17.4 KiB2024-06-06 20:03:51
php81-phar-8.1.29-r0.apk116.7 KiB2024-06-06 20:03:51
php81-xsl-8.1.29-r0.apk12.2 KiB2024-06-06 20:03:51
php81-zip-8.1.29-r0.apk24.5 KiB2024-06-06 20:03:51
php81-sysvsem-8.1.29-r0.apk5.5 KiB2024-06-06 20:03:51
php81-iconv-8.1.29-r0.apk16.3 KiB2024-06-06 20:03:51
php81-openssl-8.1.29-r0.apk68.4 KiB2024-06-06 20:03:51
php81-tidy-8.1.29-r0.apk17.6 KiB2024-06-06 20:03:51
php81-xmlreader-8.1.29-r0.apk12.4 KiB2024-06-06 20:03:51
php81-gd-8.1.29-r0.apk114.6 KiB2024-06-06 20:03:51
php81-snmp-8.1.29-r0.apk19.3 KiB2024-06-06 20:03:51
php81-fileinfo-8.1.29-r0.apk374.8 KiB2024-06-06 20:03:51
php81-pdo_odbc-8.1.29-r0.apk11.7 KiB2024-06-06 20:03:51
php81-pspell-8.1.29-r0.apk7.8 KiB2024-06-06 20:03:51
php81-mysqlnd-8.1.29-r0.apk73.2 KiB2024-06-06 20:03:51
php81-session-8.1.29-r0.apk34.4 KiB2024-06-06 20:03:51
php81-pdo_dblib-8.1.29-r0.apk11.2 KiB2024-06-06 20:03:51
php81-gettext-8.1.29-r0.apk5.7 KiB2024-06-06 20:03:51
php81-odbc-8.1.29-r0.apk22.0 KiB2024-06-06 20:03:51
php81-dba-8.1.29-r0.apk20.3 KiB2024-06-06 20:03:51
php81-simplexml-8.1.29-r0.apk20.5 KiB2024-06-06 20:03:51
php81-sockets-8.1.29-r0.apk33.9 KiB2024-06-06 20:03:51
php81-ctype-8.1.29-r0.apk4.6 KiB2024-06-06 20:03:51
php81-embed-8.1.29-r0.apk1.6 MiB2024-06-06 20:03:51
php81-imap-8.1.29-r0.apk31.7 KiB2024-06-06 20:03:51
php81-dom-8.1.29-r0.apk56.3 KiB2024-06-06 20:03:51
php81-soap-8.1.29-r0.apk125.6 KiB2024-06-06 20:03:51
php81-common-8.1.29-r0.apk25.2 KiB2024-06-06 20:03:51
php81-opcache-8.1.29-r0.apk65.2 KiB2024-06-06 20:03:51
php81-intl-8.1.29-r0.apk132.7 KiB2024-06-06 20:03:51
sxcs-doc-1.1.0-r0.apk2.6 KiB2024-06-06 20:53:53
sxcs-1.1.0-r0.apk8.1 KiB2024-06-06 20:53:53
pfetch-rs-doc-2.9.2-r0.apk2.2 KiB2024-06-07 08:30:27
pfetch-rs-2.9.2-r0.apk902.4 KiB2024-06-07 08:30:27
usbguard-doc-1.1.3-r0.apk16.3 KiB2024-06-08 10:13:49
libusbguard-1.1.3-r0.apk270.4 KiB2024-06-08 10:13:49
usbguard-zsh-completion-1.1.3-r0.apk3.1 KiB2024-06-08 10:13:49
usbguard-bash-completion-1.1.3-r0.apk4.6 KiB2024-06-08 10:13:49
usbguard-1.1.3-r0.apk93.8 KiB2024-06-08 10:13:49
usbguard-dev-1.1.3-r0.apk31.1 KiB2024-06-08 10:13:49
usbguard-openrc-1.1.3-r0.apk1.7 KiB2024-06-08 10:13:49
getmail6-6.19.01-r0.apk71.1 KiB2024-06-08 10:28:36
getmail6-pyc-6.19.01-r0.apk102.2 KiB2024-06-08 10:28:36
getmail6-doc-6.19.01-r0.apk137.3 KiB2024-06-08 10:28:36
piper-phonemize-dev-2023.11.14.4-r2.apk394.5 KiB2024-06-08 11:15:08
py3-piper-phonemize-2023.11.14.4-r2.apk129.5 KiB2024-06-08 11:15:08
piper-tts-dev-2023.11.14.2-r4.apk141.4 KiB2024-06-08 11:15:08
py3-ovos-tts-plugin-piper-0.0.1-r0.apk12.2 KiB2024-06-08 11:15:08
piper-phonemize-2023.11.14.4-r2.apk9.0 MiB2024-06-08 11:15:08
py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk11.4 KiB2024-06-08 11:15:08
piper-phonemize-libs-2023.11.14.4-r2.apk67.4 KiB2024-06-08 11:15:08
piper-tts-2023.11.14.2-r4.apk122.5 KiB2024-06-08 11:15:08
py3-piper-tts-2023.11.14.2-r4.apk41.5 KiB2024-06-08 11:15:08
usbguard-notifier-0.1.1-r0.apk29.0 KiB2024-06-08 16:11:12
usbguard-notifier-doc-0.1.1-r0.apk4.9 KiB2024-06-08 16:11:12
antimicrox-doc-3.4.0-r0.apk23.1 KiB2024-06-08 21:23:42
antimicrox-3.4.0-r0.apk1.6 MiB2024-06-08 21:23:42
py3-dbus-fast-2.21.3-r0.apk548.0 KiB2024-06-08 22:28:11
py3-dbus-fast-doc-2.21.3-r0.apk5.4 KiB2024-06-08 22:28:11
py3-dbus-fast-pyc-2.21.3-r0.apk126.6 KiB2024-06-08 22:28:11
mdbook-mermaid-0.13.0-r0.apk1.6 MiB2024-06-08 22:35:35
py3-irc-20.4.1-r0.apk40.9 KiB2024-06-09 08:03:33
py3-irc-pyc-20.4.1-r0.apk71.0 KiB2024-06-09 08:03:33
py3-pytube-pyc-15.0.0-r2.apk90.5 KiB2024-06-09 08:36:25
py3-pytube-15.0.0-r2.apk53.0 KiB2024-06-09 08:36:25
py3-pytest-postgresql-6.0.0-r0.apk34.1 KiB2024-06-09 10:33:31
py3-mirakuru-pyc-2.5.2-r0.apk22.1 KiB2024-06-09 10:33:31
py3-mirakuru-2.5.2-r0.apk21.2 KiB2024-06-09 10:33:31
py3-pytest-postgresql-pyc-6.0.0-r0.apk22.8 KiB2024-06-09 10:33:31
gnucash-doc-5.6-r0.apk1.4 MiB2024-06-09 11:09:59
gnucash-dev-5.6-r0.apk306.3 KiB2024-06-09 11:09:59
py3-gnucash-5.6-r0.apk301.0 KiB2024-06-09 11:09:59
gnucash-5.6-r0.apk8.0 MiB2024-06-09 11:09:59
gnucash-lang-5.6-r0.apk8.0 MiB2024-06-09 11:09:59
rdrview-0.1.2-r0.apk23.9 KiB2024-06-09 14:29:50
rdrview-doc-0.1.2-r0.apk3.7 KiB2024-06-09 14:29:50
tuxedo-drivers-src-4.5.1-r0.apk68.7 KiB2024-06-09 21:26:12
perl-ref-util-xs-0.117-r8.apk8.4 KiB2024-06-10 05:59:28
apache2-mod-perl-2.0.13-r1.apk628.2 KiB2024-06-10 05:59:28
perl-cairo-gobject-doc-1.005-r4.apk2.9 KiB2024-06-10 05:59:28
perl-gtk2-1.24993-r6.apk787.3 KiB2024-06-10 05:59:28
pspp-doc-1.4.1-r4.apk421.9 KiB2024-06-10 05:59:28
pcsc-perl-doc-1.4.16-r1.apk10.0 KiB2024-06-10 05:59:28
perl-ev-hiredis-doc-0.07-r1.apk4.2 KiB2024-06-10 05:59:28
perl-perlio-locale-doc-0.10-r12.apk3.0 KiB2024-06-10 05:59:28
perl-pango-1.227-r11.apk72.5 KiB2024-06-10 05:59:28
dnssec-tools-doc-2.2.3-r11.apk316.2 KiB2024-06-10 05:59:28
perl-dns-unbound-anyevent-0.29-r1.apk2.3 KiB2024-06-10 05:59:28
perl-libapreq2-dev-2.17-r2.apk51.7 KiB2024-06-10 05:59:28
perl-xml-bare-0.53-r13.apk26.2 KiB2024-06-10 05:59:28
perl-net-amqp-rabbitmq-2.40010-r3.apk70.7 KiB2024-06-10 05:59:28
flowd-0.9.1-r10.apk74.7 KiB2024-06-10 05:59:28
perl-term-size-0.211-r4.apk5.4 KiB2024-06-10 05:59:28
perl-time-timegm-doc-0.01-r9.apk3.8 KiB2024-06-10 05:59:28
perl-term-size-doc-0.211-r4.apk3.7 KiB2024-06-10 05:59:28
perl-dns-unbound-asyncquery-promisexs-0.29-r1.apk1.9 KiB2024-06-10 05:59:28
pspp-1.4.1-r4.apk7.5 MiB2024-06-10 05:59:28
perl-check-unitcheck-doc-0.13-r1.apk3.6 KiB2024-06-10 05:59:28
perl-list-binarysearch-xs-doc-0.09-r1.apk8.1 KiB2024-06-10 05:59:28
perl-libapreq2-doc-2.17-r2.apk37.1 KiB2024-06-10 05:59:28
perl-snmp-5.0404-r13.apk64.0 KiB2024-06-10 05:59:28
perl-math-libm-1.00-r14.apk9.0 KiB2024-06-10 05:59:28
dnssec-tools-dev-2.2.3-r11.apk167.2 KiB2024-06-10 05:59:28
perl-dns-unbound-0.29-r1.apk22.3 KiB2024-06-10 05:59:28
perl-ffi-platypus-2.08-r1.apk175.1 KiB2024-06-10 05:59:28
perl-net-libresolv-doc-0.03-r1.apk4.1 KiB2024-06-10 05:59:28
perl-string-crc32-doc-2.100-r4.apk3.4 KiB2024-06-10 05:59:28
perl-guard-doc-1.023-r9.apk5.3 KiB2024-06-10 05:59:28
openwsman-2.7.2-r5.apk46.0 KiB2024-06-10 05:59:28
perl-sys-virt-doc-10.2.0-r1.apk98.8 KiB2024-06-10 05:59:28
openwsman-doc-2.7.2-r5.apk2.4 KiB2024-06-10 05:59:28
flowd-dev-0.9.1-r10.apk8.3 KiB2024-06-10 05:59:28
perl-net-amqp-rabbitmq-doc-2.40010-r3.apk9.5 KiB2024-06-10 05:59:28
perl-scalar-readonly-doc-0.03-r1.apk3.4 KiB2024-06-10 05:59:28
perl-xml-bare-doc-0.53-r13.apk11.4 KiB2024-06-10 05:59:28
perl-linux-pid-0.04-r13.apk4.5 KiB2024-06-10 05:59:28
perl-devel-leak-doc-0.03-r13.apk3.3 KiB2024-06-10 05:59:28
perl-net-pcap-doc-0.21-r1.apk15.8 KiB2024-06-10 05:59:28
perl-syntax-keyword-match-doc-0.14-r1.apk7.8 KiB2024-06-10 05:59:28
perl-cairo-gobject-1.005-r4.apk6.0 KiB2024-06-10 05:59:28
pspp-dbg-1.4.1-r4.apk3.8 MiB2024-06-10 05:59:28
perl-git-raw-doc-0.90-r1.apk118.6 KiB2024-06-10 05:59:28
perl-guard-1.023-r9.apk8.0 KiB2024-06-10 05:59:28
perl-syntax-keyword-match-0.14-r1.apk13.0 KiB2024-06-10 05:59:28
perl-net-idn-encode-doc-2.500-r1.apk21.7 KiB2024-06-10 05:59:28
perl-math-int64-0.57-r1.apk27.4 KiB2024-06-10 05:59:28
flowd-doc-0.9.1-r10.apk10.1 KiB2024-06-10 05:59:28
perl-perlio-locale-0.10-r12.apk4.3 KiB2024-06-10 05:59:28
perl-digest-crc-0.24-r1.apk9.4 KiB2024-06-10 05:59:28
sgt-puzzles-0_git20230310-r2.apk2.5 MiB2024-06-10 05:59:28
openwsman-libs-2.7.2-r5.apk292.7 KiB2024-06-10 05:59:28
apache2-mod-perl-dev-2.0.13-r1.apk39.8 KiB2024-06-10 05:59:28
perl-snmp-doc-5.0404-r13.apk14.0 KiB2024-06-10 05:59:28
perl-ev-hiredis-0.07-r1.apk12.2 KiB2024-06-10 05:59:28
perl-flowd-0.9.1-r10.apk20.7 KiB2024-06-10 05:59:28
perl-net-pcap-0.21-r1.apk36.6 KiB2024-06-10 05:59:28
perl-net-idn-encode-2.500-r1.apk83.6 KiB2024-06-10 05:59:28
perl-barcode-zbar-0.10-r3.apk28.1 KiB2024-06-10 05:59:28
perl-dns-unbound-ioasync-0.29-r1.apk2.4 KiB2024-06-10 05:59:28
perl-string-compare-constanttime-doc-0.321-r6.apk5.2 KiB2024-06-10 05:59:28
perl-http-xsheaders-doc-0.400005-r1.apk6.3 KiB2024-06-10 05:59:28
jellyfin-ffmpeg-6.0.1_p7-r1.apk17.6 MiB2024-06-10 05:59:28
pptpclient-doc-1.10.0-r5.apk7.2 KiB2024-06-10 05:59:28
perl-pango-doc-1.227-r11.apk80.9 KiB2024-06-10 05:59:28
perl-bsd-resource-1.2911-r10.apk19.1 KiB2024-06-10 05:59:28
perl-musicbrainz-discid-0.06-r1.apk8.8 KiB2024-06-10 05:59:28
perl-autobox-3.0.1-r9.apk17.7 KiB2024-06-10 05:59:28
perl-devel-refcount-0.10-r1.apk5.9 KiB2024-06-10 05:59:28
perl-cairo-1.109-r4.apk65.9 KiB2024-06-10 05:59:28
hw-probe-1.6.5-r2.apk117.2 KiB2024-06-10 05:59:28
perl-indirect-0.39-r1.apk13.8 KiB2024-06-10 05:59:28
perl-check-unitcheck-0.13-r1.apk5.6 KiB2024-06-10 05:59:28
perl-glib-object-introspection-doc-0.051-r1.apk11.1 KiB2024-06-10 05:59:28
openwsman-dev-2.7.2-r5.apk57.7 KiB2024-06-10 05:59:28
perl-net-patricia-doc-1.22-r12.apk6.0 KiB2024-06-10 05:59:28
perl-devel-refcount-doc-0.10-r1.apk4.2 KiB2024-06-10 05:59:28
perl-cairo-doc-1.109-r4.apk13.9 KiB2024-06-10 05:59:28
perl-linux-pid-doc-0.04-r13.apk3.0 KiB2024-06-10 05:59:28
perl-sentinel-doc-0.07-r1.apk4.2 KiB2024-06-10 05:59:28
perl-indirect-doc-0.39-r1.apk6.4 KiB2024-06-10 05:59:28
apache2-mod-perl-doc-2.0.13-r1.apk302.8 KiB2024-06-10 05:59:28
perl-ffi-platypus-doc-2.08-r1.apk146.5 KiB2024-06-10 05:59:28
perl-net-curl-doc-0.56-r1.apk39.3 KiB2024-06-10 05:59:28
perl-net-libresolv-0.03-r1.apk7.0 KiB2024-06-10 05:59:28
perl-string-compare-constanttime-0.321-r6.apk7.0 KiB2024-06-10 05:59:28
perl-math-random-isaac-xs-1.004-r8.apk7.2 KiB2024-06-10 05:59:28
perl-promise-xs-doc-0.20-r1.apk8.7 KiB2024-06-10 05:59:28
perl-bsd-resource-doc-1.2911-r10.apk7.9 KiB2024-06-10 05:59:28
perl-flowd-doc-0.9.1-r10.apk3.2 KiB2024-06-10 05:59:28
perl-time-timegm-0.01-r9.apk6.4 KiB2024-06-10 05:59:28
perl-digest-crc-doc-0.24-r1.apk3.2 KiB2024-06-10 05:59:28
perl-http-xsheaders-0.400005-r1.apk16.6 KiB2024-06-10 05:59:28
perl-ppi-xs-0.910-r1.apk5.6 KiB2024-06-10 05:59:28
pcsc-perl-1.4.16-r1.apk24.6 KiB2024-06-10 05:59:28
flowd-openrc-0.9.1-r10.apk1.9 KiB2024-06-10 05:59:28
perl-net-curl-0.56-r1.apk55.2 KiB2024-06-10 05:59:28
perl-git-raw-0.90-r1.apk155.5 KiB2024-06-10 05:59:28
perl-net-patricia-1.22-r12.apk18.4 KiB2024-06-10 05:59:28
perl-math-int64-doc-0.57-r1.apk10.4 KiB2024-06-10 05:59:28
perl-barcode-zbar-doc-0.10-r3.apk12.6 KiB2024-06-10 05:59:28
perl-list-binarysearch-xs-0.09-r1.apk11.4 KiB2024-06-10 05:59:28
pptpclient-1.10.0-r5.apk31.0 KiB2024-06-10 05:59:28
perl-string-crc32-2.100-r4.apk6.6 KiB2024-06-10 05:59:28
perl-math-random-isaac-xs-doc-1.004-r8.apk3.8 KiB2024-06-10 05:59:28
dnssec-tools-2.2.3-r11.apk732.6 KiB2024-06-10 05:59:28
perl-devel-leak-0.03-r13.apk6.2 KiB2024-06-10 05:59:28
apache2-mod-perl-dbg-2.0.13-r1.apk78.8 KiB2024-06-10 05:59:28
perl-autobox-doc-3.0.1-r9.apk8.9 KiB2024-06-10 05:59:28
perl-glib-object-introspection-0.051-r1.apk53.7 KiB2024-06-10 05:59:28
perl-file-mmagic-xs-0.09008-r4.apk26.6 KiB2024-06-10 05:59:28
perl-file-mmagic-xs-doc-0.09008-r4.apk4.2 KiB2024-06-10 05:59:28
perl-dns-unbound-mojo-0.29-r1.apk2.7 KiB2024-06-10 05:59:28
perl-math-libm-doc-1.00-r14.apk3.1 KiB2024-06-10 05:59:28
perl-promise-xs-0.20-r1.apk20.6 KiB2024-06-10 05:59:28
perl-ref-util-xs-doc-0.117-r8.apk3.4 KiB2024-06-10 05:59:28
perl-sentinel-0.07-r1.apk6.9 KiB2024-06-10 05:59:28
perl-ppi-xs-doc-0.910-r1.apk3.4 KiB2024-06-10 05:59:28
perl-gtk2-doc-1.24993-r6.apk670.4 KiB2024-06-10 05:59:28
perl-dns-unbound-doc-0.29-r1.apk15.3 KiB2024-06-10 05:59:28
perl-sys-virt-10.2.0-r1.apk187.5 KiB2024-06-10 05:59:28
perl-musicbrainz-discid-doc-0.06-r1.apk4.3 KiB2024-06-10 05:59:28
perl-libapreq2-2.17-r2.apk88.2 KiB2024-06-10 05:59:28
perl-scalar-readonly-0.03-r1.apk5.1 KiB2024-06-10 05:59:28
silc-client-doc-1.1.11-r17.apk82.8 KiB2024-06-10 05:59:29
straw-viewer-doc-0.1.3-r3.apk36.7 KiB2024-06-10 05:59:29
silc-client-1.1.11-r17.apk740.1 KiB2024-06-10 05:59:29
straw-viewer-0.1.3-r3.apk232.1 KiB2024-06-10 05:59:29
alps-0_git20230807-r5.apk5.0 MiB2024-06-11 01:26:17
apollo-0.2.1-r4.apk3.6 MiB2024-06-11 01:26:17
atomicparsley-20240608-r0.apk106.9 KiB2024-06-11 01:26:17
antibody-6.1.1-r22.apk1.6 MiB2024-06-11 01:26:17
apollo-doc-0.2.1-r4.apk18.5 KiB2024-06-11 01:26:17
acmetool-doc-0.2.2-r7.apk47.3 KiB2024-06-11 01:26:17
aports-glmr-0.2-r22.apk2.2 MiB2024-06-11 01:26:17
autoscan-1.4.0-r5.apk4.8 MiB2024-06-11 01:26:17
autorestic-1.8.2-r3.apk3.3 MiB2024-06-11 01:26:17
acmetool-0.2.2-r7.apk4.0 MiB2024-06-11 01:26:17
apollo-openrc-0.2.1-r4.apk1.8 KiB2024-06-11 01:26:17
avahi2dns-0.0.1_git20240102-r1.apk2.1 MiB2024-06-11 01:26:17
alpine-lift-0.2.0-r17.apk3.2 MiB2024-06-11 01:26:17
autoscan-openrc-1.4.0-r5.apk2.1 KiB2024-06-11 01:26:17
alps-openrc-0_git20230807-r5.apk2.0 KiB2024-06-11 01:26:17
avahi2dns-openrc-0.0.1_git20240102-r1.apk1.8 KiB2024-06-11 01:26:17
betula-1.1.0-r4.apk3.4 MiB2024-06-11 01:26:18
certstrap-1.3.0-r17.apk1.9 MiB2024-06-11 01:26:18
certigo-1.16.0-r17.apk3.2 MiB2024-06-11 01:26:18
betula-openrc-1.1.0-r4.apk1.9 KiB2024-06-11 01:26:18
carapace-1.0.3-r1.apk11.3 MiB2024-06-11 01:26:18
bootloose-0.7.1-r5.apk2.0 MiB2024-06-11 01:26:18
bettercap-2.32.0-r22.apk8.8 MiB2024-06-11 01:26:18
butane-0.19.0-r5.apk2.4 MiB2024-06-11 01:26:18
cfssl-1.6.4-r8.apk28.8 MiB2024-06-11 01:26:18
cilium-cli-fish-completion-0.16.6-r2.apk4.3 KiB2024-06-11 01:26:19
cilium-cli-bash-completion-0.16.6-r2.apk5.1 KiB2024-06-11 01:26:19
comics-downloader-0.33.8-r4.apk3.4 MiB2024-06-11 01:26:19
cliphist-0.5.0-r4.apk818.1 KiB2024-06-11 01:26:19
cliphist-fzf-0.5.0-r4.apk1.8 KiB2024-06-11 01:26:19
cilium-cli-0.16.6-r2.apk50.7 MiB2024-06-11 01:26:19
cilium-cli-zsh-completion-0.16.6-r2.apk4.0 KiB2024-06-11 01:26:19
comics-downloader-gui-0.33.8-r4.apk5.1 MiB2024-06-11 01:26:20
cri-o-doc-1.29.1-r4.apk21.2 KiB2024-06-11 01:26:20
cri-o-1.29.1-r4.apk14.3 MiB2024-06-11 01:26:20
cri-o-zsh-completion-1.29.1-r4.apk2.9 KiB2024-06-11 01:26:20
consul-replicate-0.4.0-r25.apk2.5 MiB2024-06-11 01:26:20
conntracct-openrc-0.2.7-r25.apk1.9 KiB2024-06-11 01:26:20
conntracct-0.2.7-r25.apk4.5 MiB2024-06-11 01:26:20
cri-o-openrc-1.29.1-r4.apk2.0 KiB2024-06-11 01:26:20
cri-o-fish-completion-1.29.1-r4.apk8.2 KiB2024-06-11 01:26:20
cri-o-bash-completion-1.29.1-r4.apk2.6 KiB2024-06-11 01:26:20
crowdsec-splunk-plugin-1.6.2-r2.apk4.2 MiB2024-06-11 01:26:21
crowdsec-http-plugin-1.6.2-r2.apk4.2 MiB2024-06-11 01:26:21
desync-0.9.5-r7.apk6.9 MiB2024-06-11 01:26:21
crowdsec-slack-plugin-1.6.2-r2.apk4.2 MiB2024-06-11 01:26:21
crowdsec-openrc-1.6.2-r2.apk1.8 KiB2024-06-11 01:26:21
crowdsec-1.6.2-r2.apk35.8 MiB2024-06-11 01:26:21
crowdsec-email-plugin-1.6.2-r2.apk4.1 MiB2024-06-11 01:26:21
docker-auth-openrc-1.11.0-r9.apk2.1 KiB2024-06-11 01:26:22
dissent-0.0.24-r1.apk13.3 MiB2024-06-11 01:26:22
dive-0.11.0-r7.apk3.7 MiB2024-06-11 01:26:22
docker-auth-1.11.0-r9.apk8.9 MiB2024-06-11 01:26:22
envconsul-0.13.2-r7.apk4.3 MiB2024-06-11 01:26:23
etcd-3.5.14-r0.apk7.9 MiB2024-06-11 01:26:23
docker-volume-local-persist-openrc-1.3.0-r27.apk1.8 KiB2024-06-11 01:26:23
dsnet-doc-0.7.3-r4.apk9.3 KiB2024-06-11 01:26:23
drone-cli-1.8.0-r4.apk5.1 MiB2024-06-11 01:26:23
dstask-bash-completion-0.26-r8.apk2.1 KiB2024-06-11 01:26:23
dstask-0.26-r8.apk1.2 MiB2024-06-11 01:26:23
dstask-zsh-completion-0.26-r8.apk1.7 KiB2024-06-11 01:26:23
ergo-ldap-doc-0.0.1-r11.apk2.3 KiB2024-06-11 01:26:23
docker-volume-local-persist-1.3.0-r27.apk2.2 MiB2024-06-11 01:26:23
dockerize-0.7.0-r7.apk2.8 MiB2024-06-11 01:26:23
ergo-ldap-0.0.1-r11.apk1.8 MiB2024-06-11 01:26:23
duf-0.8.1-r20.apk975.7 KiB2024-06-11 01:26:23
dstask-import-0.26-r8.apk3.0 MiB2024-06-11 01:26:23
dsnet-0.7.3-r4.apk3.5 MiB2024-06-11 01:26:23
draw-0.1.1-r7.apk901.2 KiB2024-06-11 01:26:23
fathom-1.3.1-r7.apk4.3 MiB2024-06-11 01:26:24
etcd-doc-3.5.14-r0.apk5.5 KiB2024-06-11 01:26:24
exercism-zsh-completion-3.2.0-r6.apk2.1 KiB2024-06-11 01:26:24
exercism-3.2.0-r6.apk3.6 MiB2024-06-11 01:26:24
etcd-openrc-3.5.14-r0.apk2.1 KiB2024-06-11 01:26:24
etcd-ctl-3.5.14-r0.apk6.0 MiB2024-06-11 01:26:24
fcitx5-bamboo-lang-1.0.5-r2.apk5.8 KiB2024-06-11 01:26:24
exercism-fish-completion-3.2.0-r6.apk2.4 KiB2024-06-11 01:26:24
fcitx5-bamboo-1.0.5-r2.apk687.4 KiB2024-06-11 01:26:24
exercism-bash-completion-3.2.0-r6.apk2.0 KiB2024-06-11 01:26:24
flux-zsh-completion-2.2.3-r4.apk4.0 KiB2024-06-11 01:26:25
flux-bash-completion-2.2.3-r4.apk13.4 KiB2024-06-11 01:26:25
flux-fish-completion-2.2.3-r4.apk4.3 KiB2024-06-11 01:26:25
flux-2.2.3-r4.apk25.5 MiB2024-06-11 01:26:25
git-bug-fish-completion-0.8.0-r13.apk3.9 KiB2024-06-11 01:26:26
git-bug-bash-completion-0.8.0-r13.apk5.2 KiB2024-06-11 01:26:26
ghq-1.6.1-r2.apk3.2 MiB2024-06-11 01:26:26
geodns-3.3.0-r7.apk4.3 MiB2024-06-11 01:26:26
geodns-logs-3.3.0-r7.apk3.9 MiB2024-06-11 01:26:26
git-bug-doc-0.8.0-r13.apk17.5 KiB2024-06-11 01:26:26
ghq-doc-1.6.1-r2.apk5.4 KiB2024-06-11 01:26:26
ghq-zsh-completion-1.6.1-r2.apk2.4 KiB2024-06-11 01:26:26
geodns-openrc-3.3.0-r7.apk1.8 KiB2024-06-11 01:26:26
fq-0.10.0-r5.apk4.3 MiB2024-06-11 01:26:26
git-bug-0.8.0-r13.apk8.6 MiB2024-06-11 01:26:26
git-bug-zsh-completion-0.8.0-r13.apk4.0 KiB2024-06-11 01:26:26
ghq-bash-completion-1.6.1-r2.apk1.8 KiB2024-06-11 01:26:26
gb-0.4.4-r25.apk6.1 MiB2024-06-11 01:26:26
ghq-fish-completion-1.6.1-r2.apk2.5 KiB2024-06-11 01:26:26
go-passbolt-cli-0.3.1-r2.apk6.2 MiB2024-06-11 01:26:27
glow-1.5.1-r8.apk6.5 MiB2024-06-11 01:26:27
glow-zsh-completion-1.5.1-r8.apk4.0 KiB2024-06-11 01:26:27
go-mtpfs-1.0.0-r21.apk1.1 MiB2024-06-11 01:26:27
gobuster-3.6.0-r6.apk3.1 MiB2024-06-11 01:26:27
glow-bash-completion-1.5.1-r8.apk5.0 KiB2024-06-11 01:26:27
go-swag-1.8.12-r6.apk4.1 MiB2024-06-11 01:26:27
glow-fish-completion-1.5.1-r8.apk3.9 KiB2024-06-11 01:26:27
go-jsonnet-0.20.0-r8.apk5.5 MiB2024-06-11 01:26:27
goreman-0.3.15-r7.apk1.9 MiB2024-06-11 01:26:28
gortr-openrc-0.14.8-r7.apk2.0 KiB2024-06-11 01:26:28
gortr-0.14.8-r7.apk9.0 MiB2024-06-11 01:26:28
gomp-1.0.0-r6.apk3.1 MiB2024-06-11 01:26:28
gosu-1.17-r4.apk1012.5 KiB2024-06-11 01:26:28
grpcui-1.4.1-r2.apk8.7 MiB2024-06-11 01:26:29
gron-0.7.1-r18.apk2.2 MiB2024-06-11 01:26:29
gotestsum-1.12.0-r1.apk2.2 MiB2024-06-11 01:26:29
gx-0.14.3-r24.apk4.2 MiB2024-06-11 01:26:30
gx-go-1.9.0-r26.apk4.4 MiB2024-06-11 01:26:30
gx-doc-0.14.3-r24.apk2.3 KiB2024-06-11 01:26:30
headscale-bash-completion-0.22.3-r8.apk5.1 KiB2024-06-11 01:26:30
headscale-openrc-0.22.3-r8.apk1.8 KiB2024-06-11 01:26:30
headscale-0.22.3-r8.apk9.7 MiB2024-06-11 01:26:30
headscale-fish-completion-0.22.3-r8.apk4.3 KiB2024-06-11 01:26:30
grpcurl-1.9.1-r2.apk7.5 MiB2024-06-11 01:26:30
headscale-zsh-completion-0.22.3-r8.apk4.1 KiB2024-06-11 01:26:30
gx-go-doc-1.9.0-r26.apk2.3 KiB2024-06-11 01:26:30
helm-ls-0.0.12-r3.apk10.7 MiB2024-06-11 01:26:31
helm-ls-doc-0.0.12-r3.apk2.2 KiB2024-06-11 01:26:31
hub-fish-completion-2.14.2-r24.apk3.3 KiB2024-06-11 01:26:32
hub-zsh-completion-2.14.2-r24.apk3.7 KiB2024-06-11 01:26:32
helmfile-fish-completion-0.162.0-r4.apk4.3 KiB2024-06-11 01:26:32
hilbish-doc-2.2.3-r2.apk25.8 KiB2024-06-11 01:26:32
hub-bash-completion-2.14.2-r24.apk4.6 KiB2024-06-11 01:26:32
hub-2.14.2-r24.apk2.5 MiB2024-06-11 01:26:32
helmfile-zsh-completion-0.162.0-r4.apk4.0 KiB2024-06-11 01:26:32
helmfile-0.162.0-r4.apk31.0 MiB2024-06-11 01:26:32
helmfile-bash-completion-0.162.0-r4.apk5.1 KiB2024-06-11 01:26:32
helmfile-doc-0.162.0-r4.apk2.2 KiB2024-06-11 01:26:32
hub-doc-2.14.2-r24.apk43.4 KiB2024-06-11 01:26:32
hilbish-2.2.3-r2.apk3.1 MiB2024-06-11 01:26:32
hubble-cli-fish-completion-0.13.3-r2.apk4.3 KiB2024-06-11 01:26:33
hubble-cli-bash-completion-0.13.3-r2.apk5.1 KiB2024-06-11 01:26:33
hubble-cli-0.13.3-r2.apk17.1 MiB2024-06-11 01:26:33
imgdiff-doc-1.0.2-r20.apk2.3 KiB2024-06-11 01:26:33
imgdiff-1.0.2-r20.apk896.3 KiB2024-06-11 01:26:33
ijq-doc-1.1.0-r2.apk3.5 KiB2024-06-11 01:26:33
hubble-cli-zsh-completion-0.13.3-r2.apk4.0 KiB2024-06-11 01:26:33
ijq-1.1.0-r2.apk1.3 MiB2024-06-11 01:26:33
itd-1.1.0-r7.apk8.3 MiB2024-06-11 01:26:33
k3sup-fish-completion-0.13.5-r5.apk4.3 KiB2024-06-11 01:26:34
k3sup-bash-completion-0.13.5-r5.apk5.0 KiB2024-06-11 01:26:34
jsonnet-language-server-0.13.1-r4.apk3.7 MiB2024-06-11 01:26:34
jackal-0.64.0-r9.apk10.6 MiB2024-06-11 01:26:34
jackal-openrc-0.64.0-r9.apk1.8 KiB2024-06-11 01:26:34
jsonnet-bundler-0.5.1-r14.apk2.8 MiB2024-06-11 01:26:34
jfrog-cli-2.45.0-r6.apk8.5 MiB2024-06-11 01:26:34
k3sup-zsh-completion-0.13.5-r5.apk4.0 KiB2024-06-11 01:26:34
k3sup-0.13.5-r5.apk2.3 MiB2024-06-11 01:26:34
kanister-tools-fish-completion-0.107.0-r2.apk4.9 KiB2024-06-11 01:26:36
kanister-tools-0.107.0-r2.apk57.3 MiB2024-06-11 01:26:36
katana-1.1.0-r3.apk12.7 MiB2024-06-11 01:26:36
kanister-tools-zsh-completion-0.107.0-r2.apk4.4 KiB2024-06-11 01:26:36
kanister-tools-bash-completion-0.107.0-r2.apk5.6 KiB2024-06-11 01:26:36
kapow-0.7.1-r7.apk3.1 MiB2024-06-11 01:26:36
kind-0.22.0-r4.apk2.3 MiB2024-06-11 01:26:37
kind-fish-completion-0.22.0-r4.apk3.9 KiB2024-06-11 01:26:37
kind-bash-completion-0.22.0-r4.apk5.8 KiB2024-06-11 01:26:37
khinsider-2.0.7-r14.apk3.0 MiB2024-06-11 01:26:37
kind-zsh-completion-0.22.0-r4.apk3.7 KiB2024-06-11 01:26:37
keybase-client-6.2.8-r4.apk17.2 MiB2024-06-11 01:26:37
knative-client-1.14.0-r2.apk20.4 MiB2024-06-11 01:26:39
kompose-1.31.2-r4.apk6.7 MiB2024-06-11 01:26:39
ko-zsh-completion-0.15.2-r3.apk4.0 KiB2024-06-11 01:26:39
kompose-zsh-completion-1.31.2-r4.apk6.8 KiB2024-06-11 01:26:39
ko-0.15.2-r3.apk8.2 MiB2024-06-11 01:26:39
ko-fish-completion-0.15.2-r3.apk4.3 KiB2024-06-11 01:26:39
knative-client-zsh-completion-1.14.0-r2.apk4.0 KiB2024-06-11 01:26:39
kompose-fish-completion-1.31.2-r4.apk4.4 KiB2024-06-11 01:26:39
ko-bash-completion-0.15.2-r3.apk5.0 KiB2024-06-11 01:26:39
knative-client-bash-completion-1.14.0-r2.apk10.0 KiB2024-06-11 01:26:39
kompose-bash-completion-1.31.2-r4.apk5.6 KiB2024-06-11 01:26:39
kube-no-trouble-0.7.2-r3.apk12.8 MiB2024-06-11 01:26:40
kopia-0.17.0-r1.apk12.1 MiB2024-06-11 01:26:40
kopia-zsh-completion-0.17.0-r1.apk1.8 KiB2024-06-11 01:26:40
kubeconform-0.6.6-r1.apk2.9 MiB2024-06-11 01:26:40
kopia-bash-completion-0.17.0-r1.apk1.8 KiB2024-06-11 01:26:40
kubectl-krew-0.4.4-r6.apk4.1 MiB2024-06-11 01:26:41
kubectl-cert-manager-1.14.4-r4.apk21.2 MiB2024-06-11 01:26:41
kubectl-oidc_login-1.28.0-r7.apk4.7 MiB2024-06-11 01:26:42
kubepug-fish-completion-1.7.1-r4.apk4.3 KiB2024-06-11 01:26:43
kubeone-doc-1.7.4-r2.apk20.0 KiB2024-06-11 01:26:43
kubepug-bash-completion-1.7.1-r4.apk5.1 KiB2024-06-11 01:26:43
legume-doc-1.4.2-r3.apk12.4 KiB2024-06-11 01:26:43
kubepug-zsh-completion-1.7.1-r4.apk4.0 KiB2024-06-11 01:26:43
kubeone-zsh-completion-1.7.4-r2.apk4.0 KiB2024-06-11 01:26:43
kubepug-1.7.1-r4.apk15.6 MiB2024-06-11 01:26:43
legume-1.4.2-r3.apk1.3 MiB2024-06-11 01:26:43
kubeone-1.7.4-r2.apk22.6 MiB2024-06-11 01:26:43
kubeone-bash-completion-1.7.4-r2.apk6.6 KiB2024-06-11 01:26:43
lxd-feature-openrc-5.20-r4.apk2.4 KiB2024-06-11 01:26:46
lxd-feature-5.20-r4.apk64.6 MiB2024-06-11 01:26:46
mailsec-check-0_git20210729-r20.apk2.2 MiB2024-06-11 01:26:46
makeclapman-doc-2.4.1-r2.apk4.1 KiB2024-06-11 01:26:46
mage-1.13.0-r17.apk1.4 MiB2024-06-11 01:26:46
lyrebird-0.2.0-r1.apk3.0 MiB2024-06-11 01:26:46
maildir2rss-0.0.2-r6.apk3.0 MiB2024-06-11 01:26:46
maddy-vim-0.7.1-r4.apk3.7 KiB2024-06-11 01:26:46
maddy-0.7.1-r4.apk9.0 MiB2024-06-11 01:26:46
makeclapman-2.4.1-r2.apk1.2 MiB2024-06-11 01:26:46
lxd-feature-doc-5.20-r4.apk1.7 KiB2024-06-11 01:26:46
maddy-doc-0.7.1-r4.apk2.4 KiB2024-06-11 01:26:46
lxd-feature-bash-completion-5.20-r4.apk5.1 KiB2024-06-11 01:26:46
lxd-feature-scripts-5.20-r4.apk2.1 KiB2024-06-11 01:26:46
maddy-openrc-0.7.1-r4.apk2.0 KiB2024-06-11 01:26:46
manifest-tool-2.1.6-r4.apk3.8 MiB2024-06-11 01:26:47
mangal-zsh-completion-4.0.6-r12.apk4.0 KiB2024-06-11 01:26:47
mangal-bash-completion-4.0.6-r12.apk5.0 KiB2024-06-11 01:26:47
mangal-fish-completion-4.0.6-r12.apk3.9 KiB2024-06-11 01:26:47
mautrix-discord-openrc-0.6.5-r5.apk2.0 KiB2024-06-11 01:26:47
mautrix-discord-doc-0.6.5-r5.apk13.2 KiB2024-06-11 01:26:47
mautrix-discord-0.6.5-r5.apk6.0 MiB2024-06-11 01:26:47
mautrix-meta-0.3.1-r2.apk7.4 MiB2024-06-11 01:26:47
mautrix-meta-openrc-0.3.1-r2.apk2.0 KiB2024-06-11 01:26:47
mautrix-meta-doc-0.3.1-r2.apk13.2 KiB2024-06-11 01:26:47
mangal-4.0.6-r12.apk9.7 MiB2024-06-11 01:26:47
mkcert-1.4.4-r13.apk1.5 MiB2024-06-11 01:26:48
msh-2.5.0-r6.apk2.5 MiB2024-06-11 01:26:48
mautrix-slack-doc-0_git20230925-r5.apk13.2 KiB2024-06-11 01:26:48
msh-openrc-2.5.0-r6.apk2.0 KiB2024-06-11 01:26:48
mobroute-0.5.0-r1.apk3.7 MiB2024-06-11 01:26:48
mobroute-doc-0.5.0-r1.apk17.8 KiB2024-06-11 01:26:48
nom-2.1.4-r2.apk7.3 MiB2024-06-11 01:26:48
noggin-0.1-r9.apk1.2 MiB2024-06-11 01:26:48
mautrix-slack-0_git20230925-r5.apk5.4 MiB2024-06-11 01:26:48
noggin-doc-0.1-r9.apk2.9 KiB2024-06-11 01:26:48
mqtt2prometheus-0.1.7-r10.apk3.9 MiB2024-06-11 01:26:48
mautrix-slack-openrc-0_git20230925-r5.apk2.0 KiB2024-06-11 01:26:48
nwg-bar-0.1.6-r4.apk1.4 MiB2024-06-11 01:26:49
nsq-1.3.0-r4.apk22.0 MiB2024-06-11 01:26:49
nwg-dock-0.3.9-r5.apk1.5 MiB2024-06-11 01:26:49
opcr-policy-0.2.13-r2.apk7.8 MiB2024-06-11 01:26:50
oauth2-proxy-openrc-7.6.0-r5.apk2.1 KiB2024-06-11 01:26:50
oras-cli-fish-completion-1.1.0-r4.apk4.3 KiB2024-06-11 01:26:50
oras-cli-zsh-completion-1.1.0-r4.apk4.0 KiB2024-06-11 01:26:50
oras-cli-bash-completion-1.1.0-r4.apk5.0 KiB2024-06-11 01:26:50
oras-cli-1.1.0-r4.apk3.3 MiB2024-06-11 01:26:50
oauth2-proxy-7.6.0-r5.apk7.3 MiB2024-06-11 01:26:50
perl-conf-libconfig-doc-1.0.3-r0.apk5.4 KiB2024-06-11 01:26:51
pdfcpu-0.7.0-r3.apk4.1 MiB2024-06-11 01:26:51
pomo-doc-0.8.1-r17.apk2.7 KiB2024-06-11 01:26:51
pomo-0.8.1-r17.apk1.4 MiB2024-06-11 01:26:51
perl-conf-libconfig-1.0.3-r0.apk21.7 KiB2024-06-11 01:26:51
prometheus-bind-exporter-openrc-0.7.0-r5.apk1.9 KiB2024-06-11 01:26:52
popeye-0.21.3-r2.apk22.8 MiB2024-06-11 01:26:52
prometheus-podman-exporter-1.11.0-r2.apk13.8 MiB2024-06-11 01:26:52
prometheus-bind-exporter-0.7.0-r5.apk4.1 MiB2024-06-11 01:26:52
prometheus-rethinkdb-exporter-1.0.1-r22.apk3.7 MiB2024-06-11 01:26:53
protoc-gen-go-1.34.0-r2.apk1.7 MiB2024-06-11 01:26:53
py3-unearth-0.15.4-r0.apk40.9 KiB2024-06-11 01:26:53
prometheus-smartctl-exporter-0.12.0-r4.apk3.8 MiB2024-06-11 01:26:53
prometheus-unbound-exporter-0.4.1-r7.apk3.2 MiB2024-06-11 01:26:53
prometheus-rethinkdb-exporter-openrc-1.0.1-r22.apk1.7 KiB2024-06-11 01:26:53
prometheus-unbound-exporter-openrc-0.4.1-r7.apk2.0 KiB2024-06-11 01:26:53
py3-marshmallow-3.21.3-r0.apk46.8 KiB2024-06-11 01:26:53
protoconf-0.1.7-r7.apk6.9 MiB2024-06-11 01:26:53
py3-unearth-pyc-0.15.4-r0.apk81.4 KiB2024-06-11 01:26:53
py3-marshmallow-pyc-3.21.3-r0.apk82.7 KiB2024-06-11 01:26:53
prometheus-smokeping-prober-0.7.1-r6.apk4.1 MiB2024-06-11 01:26:53
prometheus-smokeping-prober-openrc-0.7.1-r6.apk2.0 KiB2024-06-11 01:26:53
py3-dataclasses-json-pyc-0.6.7-r0.apk35.7 KiB2024-06-11 01:26:53
py3-dataclasses-json-0.6.7-r0.apk27.4 KiB2024-06-11 01:26:53
prometheus-smartctl-exporter-openrc-0.12.0-r4.apk1.9 KiB2024-06-11 01:26:53
qbittorrent-cli-2.0.0-r5.apk4.8 MiB2024-06-11 01:26:54
reg-0.16.1-r22.apk4.1 MiB2024-06-11 01:26:54
rcon-cli-1.6.2-r5.apk2.3 MiB2024-06-11 01:26:54
rke-doc-1.4.3-r9.apk2.9 KiB2024-06-11 01:26:55
rke-1.4.3-r9.apk19.2 MiB2024-06-11 01:26:55
ruuvi-prometheus-0.1.7-r4.apk3.2 MiB2024-06-11 01:26:55
ruuvi-prometheus-openrc-0.1.7-r4.apk1.7 KiB2024-06-11 01:26:55
satellite-1.0.0-r22.apk1.9 MiB2024-06-11 01:26:56
s5cmd-2.2.2-r4.apk4.7 MiB2024-06-11 01:26:56
satellite-openrc-1.0.0-r22.apk1.9 KiB2024-06-11 01:26:56
satellite-doc-1.0.0-r22.apk3.0 KiB2024-06-11 01:26:56
scalingo-1.30.0-r4.apk4.8 MiB2024-06-11 01:26:56
seaweedfs-openrc-3.63-r3.apk1.9 KiB2024-06-11 01:26:57
seaweedfs-doc-3.63-r3.apk13.6 KiB2024-06-11 01:26:57
secsipidx-1.3.2-r6.apk2.3 MiB2024-06-11 01:26:57
seaweedfs-3.63-r3.apk18.2 MiB2024-06-11 01:26:57
secsipidx-dev-1.3.2-r6.apk4.3 MiB2024-06-11 01:26:57
secsipidx-libs-1.3.2-r6.apk2.1 MiB2024-06-11 01:26:57
sing-box-zsh-completion-1.8.4-r5.apk4.1 KiB2024-06-11 01:26:58
sing-box-1.8.4-r5.apk9.8 MiB2024-06-11 01:26:58
sish-2.16.0-r1.apk7.3 MiB2024-06-11 01:26:58
sish-openrc-2.16.0-r1.apk1.9 KiB2024-06-11 01:26:58
sing-box-bash-completion-1.8.4-r5.apk5.1 KiB2024-06-11 01:26:58
sing-box-fish-completion-1.8.4-r5.apk4.3 KiB2024-06-11 01:26:58
sing-box-openrc-1.8.4-r5.apk1.8 KiB2024-06-11 01:26:58
sipexer-1.1.0-r7.apk2.4 MiB2024-06-11 01:26:58
snowflake-2.9.2-r3.apk9.2 MiB2024-06-11 01:26:59
soju-openrc-0.8.0-r1.apk1.9 KiB2024-06-11 01:26:59
sos-0.8-r25.apk2.3 MiB2024-06-11 01:26:59
soju-utils-0.8.0-r1.apk8.0 MiB2024-06-11 01:26:59
soju-doc-0.8.0-r1.apk12.7 KiB2024-06-11 01:26:59
snowflake-doc-2.9.2-r3.apk2.9 KiB2024-06-11 01:26:59
soju-0.8.0-r1.apk7.6 MiB2024-06-11 01:26:59
speedtest-go-openrc-1.1.5-r9.apk1.7 KiB2024-06-11 01:27:00
ssh-cert-authority-2.0.0-r20.apk4.4 MiB2024-06-11 01:27:00
sshsrv-1.0-r6.apk884.1 KiB2024-06-11 01:27:00
speedtest-go-1.1.5-r9.apk4.9 MiB2024-06-11 01:27:00
speedtest_exporter-openrc-0.3.2-r9.apk1.9 KiB2024-06-11 01:27:00
speedtest_exporter-0.3.2-r9.apk3.4 MiB2024-06-11 01:27:00
speedtest-go-doc-1.1.5-r9.apk4.5 KiB2024-06-11 01:27:00
stayrtr-openrc-0.5.1-r4.apk2.0 KiB2024-06-11 01:27:00
stayrtr-0.5.1-r4.apk9.1 MiB2024-06-11 01:27:00
tangctl-0_git20220412-r17.apk2.2 MiB2024-06-11 01:27:01
tanka-0.26.0-r6.apk3.8 MiB2024-06-11 01:27:01
stern-zsh-completion-1.29.0-r2.apk4.0 KiB2024-06-11 01:27:01
stern-1.29.0-r2.apk16.0 MiB2024-06-11 01:27:01
stern-bash-completion-1.29.0-r2.apk5.8 KiB2024-06-11 01:27:01
stern-fish-completion-1.29.0-r2.apk4.3 KiB2024-06-11 01:27:01
taskcafe-openrc-0.3.6-r7.apk1.8 KiB2024-06-11 01:27:02
taskcafe-0.3.6-r7.apk13.0 MiB2024-06-11 01:27:02
templ-0.2.663-r2.apk3.5 MiB2024-06-11 01:27:02
ticker-4.5.14-r7.apk3.5 MiB2024-06-11 01:27:03
ticker-fish-completion-4.5.14-r7.apk3.9 KiB2024-06-11 01:27:03
ticker-bash-completion-4.5.14-r7.apk4.6 KiB2024-06-11 01:27:03
tenv-2.0.2-r1.apk21.3 MiB2024-06-11 01:27:03
ticker-zsh-completion-4.5.14-r7.apk3.7 KiB2024-06-11 01:27:03
tenv-fish-completion-2.0.2-r1.apk4.3 KiB2024-06-11 01:27:03
tenv-zsh-completion-2.0.2-r1.apk4.0 KiB2024-06-11 01:27:03
tenv-bash-completion-2.0.2-r1.apk5.0 KiB2024-06-11 01:27:03
tmpl-0.4.0-r5.apk2.3 MiB2024-06-11 01:27:03
tootik-0.10.4-r2.apk4.1 MiB2024-06-11 01:27:03
tmpl-doc-0.4.0-r5.apk2.2 KiB2024-06-11 01:27:03
tootik-openrc-0.10.4-r2.apk2.9 KiB2024-06-11 01:27:03
trivy-0.50.4-r2.apk68.6 MiB2024-06-11 01:27:06
tty-proxy-0.0.2-r22.apk2.2 MiB2024-06-11 01:27:06
upterm-bash-completion-0.13.5-r2.apk5.5 KiB2024-06-11 01:27:07
upterm-0.13.5-r2.apk5.4 MiB2024-06-11 01:27:07
up-0.4-r23.apk1.0 MiB2024-06-11 01:27:07
upterm-zsh-completion-0.13.5-r2.apk4.0 KiB2024-06-11 01:27:07
tty-share-2.4.0-r12.apk3.2 MiB2024-06-11 01:27:07
upterm-server-0.13.5-r2.apk4.8 MiB2024-06-11 01:27:07
undock-0.7.0-r4.apk9.1 MiB2024-06-11 01:27:07
upterm-doc-0.13.5-r2.apk6.5 KiB2024-06-11 01:27:07
upterm-server-openrc-0.13.5-r2.apk1.9 KiB2024-06-11 01:27:07
virtctl-1.2.0-r2.apk12.6 MiB2024-06-11 01:27:09
vidcutter-6.0.5.2-r0.apk2.8 MiB2024-06-11 01:27:09
wgcf-2.2.22-r2.apk3.5 MiB2024-06-11 01:27:09
wgcf-fish-completion-2.2.22-r2.apk4.3 KiB2024-06-11 01:27:09
wgcf-bash-completion-2.2.22-r2.apk5.1 KiB2024-06-11 01:27:09
virter-zsh-completion-0.27.0-r2.apk4.0 KiB2024-06-11 01:27:09
vals-0.35.0-r4.apk19.1 MiB2024-06-11 01:27:09
virtctl-bash-completion-1.2.0-r2.apk5.0 KiB2024-06-11 01:27:09
virter-bash-completion-0.27.0-r2.apk5.1 KiB2024-06-11 01:27:09
virter-fish-completion-0.27.0-r2.apk4.3 KiB2024-06-11 01:27:09
walk-1.7.0-r1.apk1.2 MiB2024-06-11 01:27:09
vidcutter-pyc-6.0.5.2-r0.apk1.9 MiB2024-06-11 01:27:09
virtctl-fish-completion-1.2.0-r2.apk3.9 KiB2024-06-11 01:27:09
vidcutter-doc-6.0.5.2-r0.apk24.2 KiB2024-06-11 01:27:09
wgcf-zsh-completion-2.2.22-r2.apk4.0 KiB2024-06-11 01:27:09
virter-0.27.0-r2.apk5.1 MiB2024-06-11 01:27:09
virter-doc-0.27.0-r2.apk15.4 KiB2024-06-11 01:27:09
virtctl-zsh-completion-1.2.0-r2.apk4.0 KiB2024-06-11 01:27:09
wireguard-go-0.0.20230223-r6.apk1.0 MiB2024-06-11 01:27:10
wl-gammarelay-0.1.1-r8.apk1.4 MiB2024-06-11 01:27:10
wireguard-go-doc-0.0.20230223-r6.apk3.6 KiB2024-06-11 01:27:10
woodpecker-2.5.0-r1.apk38.6 MiB2024-06-11 01:27:11
woodpecker-doc-2.5.0-r1.apk5.5 KiB2024-06-11 01:27:11
woodpecker-openrc-2.5.0-r1.apk1.9 KiB2024-06-11 01:27:11
yubikey-agent-0.1.6-r6.apk1.6 MiB2024-06-11 01:27:12
zk-doc-0.14.1-r1.apk437.2 KiB2024-06-11 01:27:12
xcaddy-0.4.2-r1.apk1.4 MiB2024-06-11 01:27:12
xmpp-dns-0.2.4-r18.apk1.6 MiB2024-06-11 01:27:12
wtfutil-0.43.0-r7.apk18.7 MiB2024-06-11 01:27:12
zk-0.14.1-r1.apk4.5 MiB2024-06-11 01:27:12
zrepl-zsh-completion-0.6.1-r5.apk1.9 KiB2024-06-11 01:27:13
zrepl-bash-completion-0.6.1-r5.apk4.5 KiB2024-06-11 01:27:13
zrepl-0.6.1-r5.apk6.1 MiB2024-06-11 01:27:13
zrepl-openrc-0.6.1-r5.apk1.7 KiB2024-06-11 01:27:13
perl-module-generic-doc-0.37.2-r0.apk202.5 KiB2024-06-11 04:40:07
perl-module-generic-0.37.2-r0.apk253.5 KiB2024-06-11 04:40:07
quakespasm-0.96.2-r0.apk423.5 KiB2024-06-11 05:04:51
reaction-openrc-1.4.1-r0.apk1.9 KiB2024-06-11 05:18:43
reaction-1.4.1-r0.apk1.9 MiB2024-06-11 05:18:43
reaction-tools-1.4.1-r0.apk16.9 KiB2024-06-11 05:18:43
sshuttle-doc-1.1.2-r0.apk8.5 KiB2024-06-11 07:10:45
sshuttle-1.1.2-r0.apk62.2 KiB2024-06-11 07:10:45
sshuttle-pyc-1.1.2-r0.apk100.7 KiB2024-06-11 07:10:45
py3-pillow_heif-0.16.0-r0.apk38.9 KiB2024-06-11 11:28:33
py3-pillow_heif-pyc-0.16.0-r0.apk35.2 KiB2024-06-11 11:28:33
perl-cpan-changes-0.500004-r0.apk13.7 KiB2024-06-11 12:54:22
perl-cpan-changes-doc-0.500004-r0.apk18.2 KiB2024-06-11 12:54:22
mediastreamer2-doc-5.3.41-r0.apk109.3 KiB2024-06-11 13:11:52
mediastreamer2-dev-5.3.41-r0.apk112.4 KiB2024-06-11 13:11:52
mediastreamer2-5.3.41-r0.apk314.6 KiB2024-06-11 13:11:52
lomiri-indicator-network-dev-1.0.2-r1.apk9.9 KiB2024-06-11 15:03:46
lomiri-indicator-network-lang-1.0.2-r1.apk168.0 KiB2024-06-11 15:03:46
lomiri-indicator-network-1.0.2-r1.apk534.3 KiB2024-06-11 15:03:46
lomiri-weather-app-5.13.5-r1.apk235.3 KiB2024-06-11 15:03:46
lomiri-weather-app-lang-5.13.5-r1.apk287.7 KiB2024-06-11 15:03:46
libqofono-0.123-r0.apk1.4 KiB2024-06-11 15:03:46
libqofono-qt6-0.123-r0.apk350.5 KiB2024-06-11 15:03:46
libqofono-qt5-0.123-r0.apk263.0 KiB2024-06-11 15:03:46
lomiri-indicator-network-doc-1.0.2-r1.apk2.0 KiB2024-06-11 15:03:46
libqofono-dev-0.123-r0.apk46.5 KiB2024-06-11 15:03:46
regal-0.23.1-r0.apk7.0 MiB2024-06-11 16:08:27
regal-bash-completion-0.23.1-r0.apk5.1 KiB2024-06-11 16:08:27
regal-fish-completion-0.23.1-r0.apk4.3 KiB2024-06-11 16:08:27
regal-zsh-completion-0.23.1-r0.apk4.0 KiB2024-06-11 16:08:27
gmid-2.0.5-r0.apk186.8 KiB2024-06-11 20:55:55
gmid-openrc-2.0.5-r0.apk2.3 KiB2024-06-11 20:55:55
gmid-doc-2.0.5-r0.apk14.3 KiB2024-06-11 20:55:55
spvm-math-doc-1.001-r1.apk6.2 KiB2024-06-12 06:41:09
spvm-errno-0.092-r1.apk15.3 KiB2024-06-12 06:41:09
spvm-mime-base64-doc-1.001003-r1.apk5.4 KiB2024-06-12 06:41:09
spvm-errno-doc-0.092-r1.apk5.9 KiB2024-06-12 06:41:09
spvm-thread-doc-0.001-r1.apk5.9 KiB2024-06-12 06:41:09
spvm-math-1.001-r1.apk19.3 KiB2024-06-12 06:41:09
spvm-mime-base64-1.001003-r1.apk13.3 KiB2024-06-12 06:41:09
spvm-thread-0.001-r1.apk8.5 KiB2024-06-12 06:41:09
sydbox-doc-3.21.3-r0.apk83.9 KiB2024-06-12 11:16:57
sydbox-vim-3.21.3-r0.apk5.2 KiB2024-06-12 11:16:57
sydbox-3.21.3-r0.apk1.2 MiB2024-06-12 11:16:57
sydbox-utils-3.21.3-r0.apk5.3 MiB2024-06-12 11:16:57
godap-bash-completion-2.6.0-r0.apk5.0 KiB2024-06-12 15:28:19
godap-2.6.0-r0.apk3.8 MiB2024-06-12 15:28:19
godap-fish-completion-2.6.0-r0.apk4.3 KiB2024-06-12 15:28:19
godap-zsh-completion-2.6.0-r0.apk4.0 KiB2024-06-12 15:28:19
godap-doc-2.6.0-r0.apk6.1 KiB2024-06-12 15:28:19
psi-plus-plugins-1.5.1965-r0.apk1.6 MiB2024-06-13 03:35:30
psi-plus-1.5.1965-r0.apk8.3 MiB2024-06-13 03:35:30
gtkwave-doc-3.3.119-r0.apk27.1 KiB2024-06-13 03:49:15
svls-0.2.12-r0.apk3.1 MiB2024-06-13 03:49:15
xfe-doc-1.46.2-r0.apk4.0 KiB2024-06-13 03:49:15
xfe-xfi-1.46.2-r0.apk244.8 KiB2024-06-13 03:49:15
ttyper-1.5.0-r0.apk497.6 KiB2024-06-13 03:49:15
xfe-xfp-1.46.2-r0.apk221.6 KiB2024-06-13 03:49:15
svls-doc-0.2.12-r0.apk2.2 KiB2024-06-13 03:49:15
gtkwave-3.3.119-r0.apk2.4 MiB2024-06-13 03:49:15
xfe-lang-1.46.2-r0.apk533.7 KiB2024-06-13 03:49:15
xfe-xfw-1.46.2-r0.apk258.8 KiB2024-06-13 03:49:15
xfe-1.46.2-r0.apk1.3 MiB2024-06-13 03:49:15
ruby-build-doc-20240612-r0.apk4.6 KiB2024-06-13 05:03:20
ruby-build-20240612-r0.apk105.3 KiB2024-06-13 05:03:20
ruby-build-runtime-20240612-r0.apk1.5 KiB2024-06-13 05:03:20
railway-lang-2.6.0-r0.apk55.3 KiB2024-06-13 06:59:12
railway-2.6.0-r0.apk1.9 MiB2024-06-13 06:59:12
py3-pysequoia-pyc-0.1.20-r3.apk1.9 KiB2024-06-13 13:28:32
py3-pysequoia-0.1.20-r3.apk1.4 MiB2024-06-13 13:28:32
g4music-3.6.2-r0.apk150.0 KiB2024-06-13 14:46:23
g4music-lang-3.6.2-r0.apk37.8 KiB2024-06-13 14:46:23
horust-doc-0.1.7-r2.apk9.2 KiB2024-06-13 14:54:49
horust-0.1.7-r2.apk934.4 KiB2024-06-13 14:54:49
cargo-shuttle-bash-completion-0.46.0-r0.apk3.7 KiB2024-06-13 20:10:54
cargo-shuttle-fish-completion-0.46.0-r0.apk4.1 KiB2024-06-13 20:10:54
cargo-shuttle-zsh-completion-0.46.0-r0.apk5.4 KiB2024-06-13 20:10:54
cargo-shuttle-0.46.0-r0.apk4.5 MiB2024-06-13 20:10:54
cargo-shuttle-doc-0.46.0-r0.apk9.0 KiB2024-06-13 20:10:54
godot-doc-4.1.3-r2.apk4.3 KiB2024-06-13 20:48:14
godot-4.1.3-r2.apk42.0 MiB2024-06-13 20:48:14
godot-templates-4.1.3-r2.apk44.3 MiB2024-06-13 20:48:15
micropython-cross-1.23.0-r0.apk136.8 KiB2024-06-13 20:48:45
micropython-1.23.0-r0.apk208.0 KiB2024-06-13 20:48:45
forgejo-openrc-7.0.4-r0.apk1.8 KiB2024-06-13 21:11:55
forgejo-7.0.4-r0.apk41.0 MiB2024-06-13 21:11:55
slidge-0.1.3-r0.apk144.6 KiB2024-06-14 03:21:14
slidge-matridge-pyc-0.1.0-r0.apk37.9 KiB2024-06-14 03:21:14
slidge-matridge-0.1.0-r0.apk29.7 KiB2024-06-14 03:21:14
slidge-matridge-openrc-0.1.0-r0.apk1.5 KiB2024-06-14 03:21:14
slidge-openrc-0.1.3-r0.apk2.3 KiB2024-06-14 03:21:14
slidge-pyc-0.1.3-r0.apk285.0 KiB2024-06-14 03:21:14
slidge-doc-0.1.3-r0.apk4.5 KiB2024-06-14 03:21:14
dewduct-0.2.3-r0.apk1.0 MiB2024-06-14 20:03:45
py3-svgpath-6.3-r3.apk17.1 KiB2024-06-15 05:12:42
py3-pyinstrument-4.6.2-r2.apk79.0 KiB2024-06-15 05:12:42
py3-svgpath-pyc-6.3-r3.apk21.6 KiB2024-06-15 05:12:42
py3-pyinstrument-pyc-4.6.2-r2.apk85.1 KiB2024-06-15 05:12:42
hiawatha-openrc-11.6-r0.apk1.7 KiB2024-06-15 07:33:46
hiawatha-letsencrypt-11.6-r0.apk17.6 KiB2024-06-15 07:33:46
hiawatha-11.6-r0.apk150.5 KiB2024-06-15 07:33:46
hiawatha-doc-11.6-r0.apk21.1 KiB2024-06-15 07:33:46
tree-sitter-ssh-client-config-2024.6.13-r0.apk82.3 KiB2024-06-15 07:50:43
sequoia-chameleon-gnupg-0.10.0-r0.apk3.8 MiB2024-06-15 16:39:39
py3-dt-schema-pyc-2024.05-r0.apk47.9 KiB2024-06-16 01:50:03
py3-dt-schema-2024.05-r0.apk78.9 KiB2024-06-16 01:50:03
mitra-openrc-2.22.0-r0.apk1.9 KiB2024-06-16 08:26:55
mitra-2.22.0-r0.apk6.9 MiB2024-06-16 08:26:55
mitra-doc-2.22.0-r0.apk19.7 KiB2024-06-16 08:26:55
libb64-dev-2.0.0.1-r0.apk5.3 KiB2024-06-16 11:26:17
libb64-doc-2.0.0.1-r0.apk8.0 KiB2024-06-16 11:26:17
libb64-2.0.0.1-r0.apk4.3 KiB2024-06-16 11:26:17
spacectl-doc-1.0.0-r0.apk2.3 KiB2024-06-16 12:54:15
spacectl-1.0.0-r0.apk4.7 MiB2024-06-16 12:54:15
spacectl-zsh-completion-1.0.0-r0.apk1.8 KiB2024-06-16 12:54:15
spacectl-bash-completion-1.0.0-r0.apk2.0 KiB2024-06-16 12:54:15
spacectl-fish-completion-1.0.0-r0.apk6.1 KiB2024-06-16 12:54:15
pixi-fish-completion-0.24.2-r0.apk10.1 KiB2024-06-16 21:08:59
pixi-bash-completion-0.24.2-r0.apk7.2 KiB2024-06-16 21:08:59
pixi-doc-0.24.2-r0.apk6.9 KiB2024-06-16 21:08:59
pixi-0.24.2-r0.apk7.8 MiB2024-06-16 21:08:59
pixi-zsh-completion-0.24.2-r0.apk10.4 KiB2024-06-16 21:08:59
watchmate-0.5.2-r0.apk2.0 MiB2024-06-16 21:34:11
today-6.2.0-r0.apk3.1 KiB2024-06-16 21:34:25
today-doc-6.2.0-r0.apk3.3 KiB2024-06-16 21:34:25
dsp-doc-1.9-r2.apk7.2 KiB2024-06-17 06:21:10
dsp-1.9-r2.apk84.5 KiB2024-06-17 06:21:10
coin-4.0.0-r7.apk2.5 MiB2024-06-18 21:36:15
decoder-lang-0.5.1-r0.apk59.0 KiB2024-06-18 21:36:15
decoder-0.5.1-r0.apk1.8 MiB2024-06-18 21:36:15
coin-dev-4.0.0-r7.apk353.5 KiB2024-06-18 21:36:15
batmon-0.0.1-r0.apk382.7 KiB2024-06-18 21:36:15
fdm-materials-5.2.2-r1.apk59.7 KiB2024-06-18 21:36:17
endless-sky-doc-0.10.2-r0.apk36.5 KiB2024-06-18 21:36:17
endless-sky-0.10.2-r0.apk242.1 MiB2024-06-18 21:36:17
grommunio-dav-2.0_git20240327-r3.apk696.9 KiB2024-06-18 21:36:18
grommunio-admin-web-2.9.0-r3.apk3.5 MiB2024-06-18 21:36:18
grommunio-admin-api-1.15-r3.apk236.6 KiB2024-06-18 21:36:18
grommunio-admin-api-doc-1.15-r3.apk24.3 KiB2024-06-18 21:36:18
grommunio-gromox-2.29-r0.apk2.3 MiB2024-06-18 21:36:18
grommunio-admin-api-bash-completion-1.15-r3.apk2.1 KiB2024-06-18 21:36:18
grommunio-admin-api-openrc-1.15-r3.apk1.8 KiB2024-06-18 21:36:18
libmedc-4.1.1-r4.apk602.5 KiB2024-06-18 21:36:19
grommunio-sync-2.0_git20240327-r3.apk323.9 KiB2024-06-18 21:36:19
mautrix-gmessages-doc-0.4.2-r0.apk13.2 KiB2024-06-18 21:36:19
nvim-treesitter-0.0.0_git20221013-r1.apk193.7 KiB2024-06-18 21:36:19
py3-pivy-0.6.9_alpha0-r1.apk1.9 MiB2024-06-18 21:36:19
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk2.0 KiB2024-06-18 21:36:19
grommunio-index-1.0-r2.apk42.9 KiB2024-06-18 21:36:19
nvim-cmp-path-0.0.0_git20221002-r1.apk3.8 KiB2024-06-18 21:36:19
nvim-cmp-doc-0.0.0_git20221011-r1.apk10.5 KiB2024-06-18 21:36:19
grommunio-gromox-openrc-2.29-r0.apk2.7 KiB2024-06-18 21:36:19
mautrix-gmessages-0.4.2-r0.apk6.4 MiB2024-06-18 21:36:19
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk2.0 KiB2024-06-18 21:36:19
nvim-packer-doc-0.0.0_git20220910-r1.apk21.2 KiB2024-06-18 21:36:19
plattenalbum-lang-2.1.1-r0.apk21.7 KiB2024-06-18 21:36:19
gst-rtsp-server-1.24.4-r0.apk212.3 KiB2024-06-18 21:36:19
py3-tokenizers-0.19.1-r0.apk1.4 MiB2024-06-18 21:36:19
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk2.6 KiB2024-06-18 21:36:19
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk1.8 KiB2024-06-18 21:36:19
libmedc-python-pyc-4.1.1-r4.apk475.4 KiB2024-06-18 21:36:19
nvim-lualine-0.0.0_git20221006-r1.apk59.4 KiB2024-06-18 21:36:19
py3-puremagic-pyc-1.24-r0.apk10.9 KiB2024-06-18 21:36:19
py3-puremagic-1.24-r0.apk39.4 KiB2024-06-18 21:36:19
libmedc-dev-4.1.1-r4.apk37.5 KiB2024-06-18 21:36:19
plattenalbum-2.1.1-r0.apk33.0 KiB2024-06-18 21:36:19
nvim-cmp-0.0.0_git20221011-r1.apk54.8 KiB2024-06-18 21:36:19
nvim-treesitter-doc-0.0.0_git20221013-r1.apk18.2 KiB2024-06-18 21:36:19
libmedc-python-4.1.1-r4.apk1.7 MiB2024-06-18 21:36:19
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk3.3 KiB2024-06-18 21:36:19
grommunio-gromox-dev-2.29-r0.apk3.2 KiB2024-06-18 21:36:19
py3-tokenizers-pyc-0.19.1-r0.apk29.3 KiB2024-06-18 21:36:19
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk4.2 KiB2024-06-18 21:36:19
py3-arcus-5.3.0-r1.apk67.5 KiB2024-06-18 21:36:19
libmedc-doc-4.1.1-r4.apk40.5 MiB2024-06-18 21:36:19
grommunio-web-3.8-r2.apk15.7 MiB2024-06-18 21:36:19
nvim-gruvbox-0.0.0_git20221212-r1.apk10.2 KiB2024-06-18 21:36:19
grommunio-gromox-dbg-2.29-r0.apk31.7 MiB2024-06-18 21:36:19
grommunio-gromox-doc-2.29-r0.apk113.3 KiB2024-06-18 21:36:19
py3-django-compress-staticfiles-1.0.1_beta0-r5.apk14.0 KiB2024-06-18 21:36:19
nvim-packer-0.0.0_git20220910-r1.apk45.6 KiB2024-06-18 21:36:19
nvim-gruvbox-doc-0.0.0_git20221212-r1.apk2.8 KiB2024-06-18 21:36:19
py3-numpy-stl-pyc-3.0.1-r3.apk26.9 KiB2024-06-18 21:36:19
py3-mapbox-earcut-1.0.1-r1.apk53.5 KiB2024-06-18 21:36:19
py3-openwisp-utils-1.0.4-r3.apk492.2 KiB2024-06-18 21:36:19
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk3.5 KiB2024-06-18 21:36:19
nvim-cmp-buffer-0.0.0_git20220810-r1.apk7.8 KiB2024-06-18 21:36:19
nvim-lualine-doc-0.0.0_git20221006-r1.apk18.8 KiB2024-06-18 21:36:19
py3-django-compress-staticfiles-pyc-1.0.1_beta0-r5.apk14.9 KiB2024-06-18 21:36:19
py3-openwisp-utils-pyc-1.0.4-r3.apk42.3 KiB2024-06-18 21:36:19
py3-numpy-stl-3.0.1-r3.apk19.7 KiB2024-06-18 21:36:19
gst-rtsp-server-dev-1.24.4-r0.apk94.3 KiB2024-06-18 21:36:19
mautrix-gmessages-openrc-0.4.2-r0.apk2.0 KiB2024-06-18 21:36:19
nvim-cmp-lsp-0.0.0_git20220516-r1.apk3.5 KiB2024-06-18 21:36:19
soqt-1.6.0-r1.apk206.5 KiB2024-06-18 21:36:20
sc-controller-pyc-0.4.8.13-r1.apk873.0 KiB2024-06-18 21:36:20
sc-controller-0.4.8.13-r1.apk1.9 MiB2024-06-18 21:36:20
soqt-doc-1.6.0-r1.apk1.7 KiB2024-06-18 21:36:20
tic-80-1.1.2837-r3.apk11.9 MiB2024-06-18 21:36:20
waifu2x-converter-cpp-5.3.4-r6.apk11.6 MiB2024-06-18 21:36:20
zapret-doc-0.0.0_git20220125-r1.apk98.5 KiB2024-06-18 21:36:20
zapret-0.0.0_git20220125-r1.apk70.8 KiB2024-06-18 21:36:20
wlvncc-0.0.0_git20230105-r1.apk59.5 KiB2024-06-18 21:36:20
zsh-fzf-tab-0_git20220331-r1.apk16.1 KiB2024-06-18 21:36:20
zapret-openrc-0.0.0_git20220125-r1.apk2.1 KiB2024-06-18 21:36:20
soqt-dev-1.6.0-r1.apk98.2 KiB2024-06-18 21:36:20
telegram-bot-api-7.5-r0.apk6.0 MiB2024-06-19 01:50:14
uv-bash-completion-0.2.13-r0.apk5.7 KiB2024-06-19 04:25:04
uv-fish-completion-0.2.13-r0.apk12.8 KiB2024-06-19 04:25:04
py3-uv-pyc-0.2.13-r0.apk3.6 KiB2024-06-19 04:25:04
uv-0.2.13-r0.apk4.0 MiB2024-06-19 04:25:04
py3-uv-0.2.13-r0.apk19.6 KiB2024-06-19 04:25:04
uv-zsh-completion-0.2.13-r0.apk10.3 KiB2024-06-19 04:25:04
elf_diff-0.7.1-r2.apk94.2 KiB2024-06-19 05:24:13
elf_diff-pyc-0.7.1-r2.apk108.0 KiB2024-06-19 05:24:13
siril-1.2.3-r0.apk2.5 MiB2024-06-19 11:32:24
siril-lang-1.2.3-r0.apk1.5 MiB2024-06-19 11:32:24
siril-doc-1.2.3-r0.apk17.8 KiB2024-06-19 11:32:24
vale-doc-3.6.0-r0.apk2.2 KiB2024-06-19 12:31:54
vale-3.6.0-r0.apk9.6 MiB2024-06-19 12:31:54
yosys-dev-0.42-r0.apk118.7 KiB2024-06-19 15:49:32
yosys-0.42-r0.apk16.4 MiB2024-06-19 15:49:32
py3-yosys-0.42-r0.apk1.8 KiB2024-06-19 15:49:32
dnscontrol-doc-4.12.0-r0.apk2.3 KiB2024-06-19 19:12:33
dnscontrol-4.12.0-r0.apk12.7 MiB2024-06-19 19:12:33
chicago95-fonts-3.0.1_git20240619-r0.apk214.8 KiB2024-06-19 19:34:18
chicago95-icons-3.0.1_git20240619-r0.apk12.0 MiB2024-06-19 19:34:18
chicago95-3.0.1_git20240619-r0.apk481.1 KiB2024-06-19 19:34:18
rattler-build-zsh-completion-0.18.0-r0.apk5.4 KiB2024-06-19 21:05:06
rattler-build-bash-completion-0.18.0-r0.apk3.6 KiB2024-06-19 21:05:06
rattler-build-fish-completion-0.18.0-r0.apk4.7 KiB2024-06-19 21:05:06
rattler-build-doc-0.18.0-r0.apk6.7 KiB2024-06-19 21:05:06
rattler-build-0.18.0-r0.apk5.3 MiB2024-06-19 21:05:06
fpc-doc-3.2.2-r4.apk1.2 MiB2024-06-20 01:11:27
fpc-stage0-3.2.2-r3.apk6.2 MiB2024-06-20 01:11:27
fpc-3.2.2-r4.apk68.5 MiB2024-06-20 01:11:27
linuxptp-nsm-4.3-r0.apk30.8 KiB2024-06-20 02:05:00
linuxptp-timemaster-4.3-r0.apk14.2 KiB2024-06-20 02:05:00
linuxptp-ts2phc-4.3-r0.apk34.4 KiB2024-06-20 02:05:00
linuxptp-doc-4.3-r0.apk37.8 KiB2024-06-20 02:05:00
linuxptp-tz2alt-4.3-r0.apk18.4 KiB2024-06-20 02:05:00
linuxptp-4.3-r0.apk1.4 KiB2024-06-20 02:05:00
linuxptp-hwstamp_ctl-4.3-r0.apk4.3 KiB2024-06-20 02:05:00
linuxptp-phc_ctl-4.3-r0.apk10.3 KiB2024-06-20 02:05:00
linuxptp-ptp4l-4.3-r0.apk72.1 KiB2024-06-20 02:05:00
linuxptp-phc2sys-4.3-r0.apk35.5 KiB2024-06-20 02:05:00
linuxptp-pmc-4.3-r0.apk32.7 KiB2024-06-20 02:05:00
perl-mce-1.897-r0.apk135.5 KiB2024-06-20 03:10:30
perl-mce-doc-1.897-r0.apk170.6 KiB2024-06-20 03:10:30
komikku-lang-1.48.1-r0.apk192.3 KiB2024-06-20 08:48:46
komikku-pyc-1.48.1-r0.apk660.1 KiB2024-06-20 08:48:46
komikku-1.48.1-r0.apk1.0 MiB2024-06-20 08:48:46
goxel-0.14.0-r0.apk1.2 MiB2024-06-20 12:57:15
mtree-portable-0_git20220519-r0.apk23.5 KiB2024-06-20 14:35:25
mtree-portable-doc-0_git20220519-r0.apk11.5 KiB2024-06-20 14:35:25
libnbcompat-dev-1.0.2-r0.apk63.2 KiB2024-06-20 14:35:25
libnbcompat-1.0.2-r0.apk30.8 KiB2024-06-20 14:35:25
rbw-1.11.0-r0.apk3.0 MiB2024-06-20 14:38:43
rbw-bash-completion-1.11.0-r0.apk3.2 KiB2024-06-20 14:38:43
rbw-fish-completion-1.11.0-r0.apk3.3 KiB2024-06-20 14:38:43
rbw-zsh-completion-1.11.0-r0.apk4.1 KiB2024-06-20 14:38:43
autobrr-1.43.0-r0.apk15.5 MiB2024-06-20 14:40:07
autobrr-openrc-1.43.0-r0.apk2.0 KiB2024-06-20 14:40:07
radarr-5.7.0.8882-r0.apk23.1 MiB2024-06-20 14:58:18
radarr-openrc-5.7.0.8882-r0.apk2.1 KiB2024-06-20 14:58:18
prowlarr-1.19.0.4568-r0.apk15.8 MiB2024-06-20 16:36:22
prowlarr-openrc-1.19.0.4568-r0.apk2.1 KiB2024-06-20 16:36:22
release-plz-zsh-completion-0.3.73-r0.apk4.2 KiB2024-06-20 21:07:18
release-plz-doc-0.3.73-r0.apk4.1 KiB2024-06-20 21:07:18
release-plz-bash-completion-0.3.73-r0.apk2.7 KiB2024-06-20 21:07:18
release-plz-fish-completion-0.3.73-r0.apk3.6 KiB2024-06-20 21:07:18
release-plz-0.3.73-r0.apk5.3 MiB2024-06-20 21:07:18
halp-fish-completion-0.2.0-r0.apk2.0 KiB2024-06-20 21:09:06
halp-doc-0.2.0-r0.apk6.9 KiB2024-06-20 21:09:06
halp-0.2.0-r0.apk829.7 KiB2024-06-20 21:09:06
halp-bash-completion-0.2.0-r0.apk2.2 KiB2024-06-20 21:09:06
halp-zsh-completion-0.2.0-r0.apk2.4 KiB2024-06-20 21:09:06
kine-doc-0.10.1-r7.apk5.1 KiB2024-06-21 06:22:05
kine-0.10.1-r7.apk7.3 MiB2024-06-21 06:22:05
task3-fish-completion-3.0.2-r0.apk6.0 KiB2024-06-21 10:40:16
task3-doc-3.0.2-r0.apk244.7 KiB2024-06-21 10:40:16
task3-bash-completion-3.0.2-r0.apk3.5 KiB2024-06-21 10:40:16
task3-zsh-completion-3.0.2-r0.apk4.7 KiB2024-06-21 10:40:16
task3-3.0.2-r0.apk3.8 MiB2024-06-21 10:40:16
APKINDEX.tar.gz868.0 KiB2024-06-21 12:53:20