8D(> .TQ Systems TQMa7S board on MBa7 carrier board'!tq,imx7s-mba7tq,imx7s-tqma7fsl,imx7schosen",/soc/bus@30800000/serial@30a80000aliases 8/soc/bus@30000000/gpio@30200000 >/soc/bus@30000000/gpio@30210000 D/soc/bus@30000000/gpio@30220000 J/soc/bus@30000000/gpio@30230000 P/soc/bus@30000000/gpio@30240000 V/soc/bus@30000000/gpio@30250000 \/soc/bus@30000000/gpio@30260000b/soc/bus@30800000/i2c@30a20000g/soc/bus@30800000/i2c@30a30000l/soc/bus@30800000/i2c@30a40000q/soc/bus@30800000/i2c@30a50000v/soc/bus@30800000/mmc@30b60000{/soc/bus@30800000/mmc@30b400004/soc/bus@30800000/spba-bus@30800000/serial@308600004/soc/bus@30800000/spba-bus@30800000/serial@308900004/soc/bus@30800000/spba-bus@30800000/serial@30880000"/soc/bus@30800000/serial@30a60000"/soc/bus@30800000/serial@30a70000"/soc/bus@30800000/serial@30a80000"/soc/bus@30800000/serial@30a900001/soc/bus@30800000/spba-bus@30800000/spi@308200001/soc/bus@30800000/spba-bus@30800000/spi@308300001/soc/bus@30800000/spba-bus@30800000/spi@30840000/soc/bus@30400000/spi@30630000/soc/bus@30800000/usb@30b10000/soc/bus@30800000/usb@30b30000cpusidle-statespscicpu-sleep-wait!arm,idle-state d2,=cpu@0!arm,cortex-a7EcpuQU/4elsz=clock-cki !fixed-clockUckil=clock-osc !fixed-clockUn6osc=usbphynop1!usb-nop-xceivs main_clk=<usbphynop3!usb-nop-xceivsn main_clk=Apmu!arm,cortex-a7-pmu \replicator !arm,coresight-static-replicatorout-portsport@0Qendpoint=port@1Qendpoint=in-portsportendpoint=timer!arm,armv7-timer  0   soc !simple-bus/funnel@30041000+!arm,coresight-dynamic-funnelarm,primecellQ0sJ apb_pclkin-portsportendpoint = out-portsportendpoint = etm@3007c000"!arm,coresight-etm3xarm,primecellQ06sJ apb_pclkout-portsportendpoint = funnel@30083000+!arm,coresight-dynamic-funnelarm,primecellQ00sJ apb_pclkin-portsport@0Qendpoint = port@1Qendpointout-portsportendpoint=etf@30084000 !arm,coresight-tmcarm,primecellQ0@sJ apb_pclkin-portsportendpoint=out-portsportendpoint=etr@30086000 !arm,coresight-tmcarm,primecellQ0`sJ apb_pclkin-portsportendpoint=tpiu@30087000!!arm,coresight-tpiuarm,primecellQ0psJ apb_pclkin-portsportendpoint=interrupt-controller@31001000!arm,cortex-a7-gic  :K  Q11 1@ 1` = bus@30000000!fsl,aips-bussimple-busQ0@/gpio@30200000!fsl,imx7d-gpiofsl,imx35-gpioQ0 @A`pK: |=Sgpio@30210000!fsl,imx7d-gpiofsl,imx35-gpioQ0!BC`pK:| =Tgpio@30220000!fsl,imx7d-gpiofsl,imx35-gpioQ0"DE`pK:|-gpio@30230000!fsl,imx7d-gpiofsl,imx35-gpioQ0#FG`pK:|J=)gpio@30240000!fsl,imx7d-gpiofsl,imx35-gpioQ0$HI`pK:|b=Ggpio@30250000!fsl,imx7d-gpiofsl,imx35-gpioQ0%JK`pK:|tgpio@30260000!fsl,imx7d-gpiofsl,imx35-gpioQ0&LM`pK:|=6watchdog@30280000!fsl,imx7d-wdtfsl,imx21-wdtQ0( NsBdefaultokaywatchdog@30290000!fsl,imx7d-wdtfsl,imx21-wdtQ0) Os disabledwatchdog@302a0000!fsl,imx7d-wdtfsl,imx21-wdtQ0*  s disabledwatchdog@302b0000!fsl,imx7d-wdtfsl,imx21-wdtQ0+ ms disablediomuxc-lpsr@302c0000!fsl,imx7d-iomuxc-lpsrQ0,=wdog1grp00=pwm1grp4P=#usbotg1grp0@,\DY=>timer@302d0000!fsl,imx7d-gptfsl,imx6sx-gptQ0- 7s..ipgpertimer@302e0000!fsl,imx7d-gptfsl,imx6sx-gptQ0. 6s22ipgper disabledtimer@302f0000!fsl,imx7d-gptfsl,imx6sx-gptQ0/ 5s66ipgper disabledtimer@30300000!fsl,imx7d-gptfsl,imx6sx-gptQ00 4s::ipgper disabledkeypad@30320000!fsl,imx7d-kppfsl,imx21-kppQ02 Ps disabledpinctrl@30330000!fsl,imx7d-iomuxcQ03default=i2c1grp0L@xH@x=1pmic1grp$@\=2usdhc3grpDY@VHYLYPYTYXY\Y`YdYh=Iusdhc3grp_100mhzDZ@QHZLZPZTZXZ\Z`ZdZh=Jusdhc3grp_200mhzD[@QH[L[P[T[X[\[`[d[h=Kecspi1grpp(|l,th$t(t,t0t=(ecspi2grp`8||<tx4t@t=*enet1grpth xXqDqHqLqPqTq@y,y0y4y8y<yh@pp@x=Nflexcan1grp0$|Z(R=/flexcan2grp0,Z0R=0hogmba71grpH`@|d@t4@=i2c2grp0P@xT@x=3i2c3grp0X@x\@x=7pca95550grp\x=5sai1grppt |x=-uart3grp`8~<vDv@~=+uart4grp` ~ v$v(~=8uart5grp0`~dv=9uart6grp`T}Xu`u\}=:uart7grp`d$~hvpvl ~=;usdhc1grp_gpioH||lY=Dusdhc1grp^W ^^^^=Cusdhc1grp_100mhzZW ZZZZ=Eusdhc1grp_200mhz[W [[[[=Fiomuxc-gpr@30340000<!fsl,imx7d-iomuxc-gprfsl,imx6q-iomuxc-gprsysconsimple-mfdQ04=.mux-controller !mmio-mux=csi-mux !video-mux disabledport@0Qport@1Qendpoint='port@2Qendpoint=$efuse@30350000!fsl,imx7d-ocotpsysconQ05scalib@3cQ<=fuse-grade@10Q=anatop@303600004!fsl,imx7d-anatopfsl,imx6q-anatopsysconsimple-mfdQ0613=regulator-vdd1p0d!fsl,anatop-regulatorvdd1p0d 5(O@Rg| 5O= regulator-vdd1p2!fsl,anatop-regulatorvdd1p2( @ Rg| =!tempmon!fsl,imx7d-tempmon 1calibtemp_gradessnvs@30370000#!fsl,sec-v4.0-monsysconsimple-mfdQ07=snvs-rtc-lp!fsl,sec-v4.0-mon-rtc-lpK4s snvs-rtcsnvs-powerkey!fsl,sec-v4.0-pwrkey s snvs-pwrkeyt disabledclock-controller@30380000!fsl,imx7d-ccmQ08UVs ckilosc=reset-controller@30390000!fsl,imx7d-srcsysconQ09 Y=&gpc@303a0000!fsl,imx7d-gpcQ0:K W:  =pgcpower-domain@0 Q4 =%power-domain@1 Q4 power-domain@2 Q4!=@bus@30400000!fsl,aips-bussimple-busQ0@@/adc@30610000!fsl,imx7d-adcQ0a bsadcAokayS"adc@30620000!fsl,imx7d-adcQ0b csadcAokayS"spi@30630000 !fsl,imx7d-ecspifsl,imx51-ecspiQ0c "s  ipgper disabledpwm@30640000!fsl,vf610-ftm-pwmQ0d_ 'ftm_sysftm_extftm_fixftm_cnt_clk_en s disabledpwm@30650000!fsl,vf610-ftm-pwmQ0e_ 'ftm_sysftm_extftm_fixftm_cnt_clk_en s"""" disabledpwm@30660000!fsl,imx7d-pwmfsl,imx27-pwmQ0f Qsipgper_okaydefault#pwm@30670000!fsl,imx7d-pwmfsl,imx27-pwmQ0g Rsipgper_ disabledpwm@30680000!fsl,imx7d-pwmfsl,imx27-pwmQ0h Ssipgper_ disabledpwm@30690000!fsl,imx7d-pwmfsl,imx27-pwmQ0i Tsipgper_ disabledcsi@30710000 !fsl,imx7-csiQ0q sFaximclkdcic disabledportendpoint$=lcdif@30730000 !fsl,imx7d-lcdiffsl,imx28-lcdifQ0s s~~pixaxi disabledmipi-csi@30750000!fsl,imx7-mipi-csi2Q0u spclkwrapphyj%x &mrst disabledport@0Qport@1Qendpoint'=bus@30800000!fsl,aips-bussimple-busQ0@/spba-bus@30800000!fsl,spba-bussimple-busQ0/spi@30820000 !fsl,imx7d-ecspifsl,imx51-ecspiQ0 sipgperokaydefault($)))spi@30830000 !fsl,imx7d-ecspifsl,imx51-ecspiQ0  sipgperokaydefault*spi@30840000 !fsl,imx7d-ecspifsl,imx51-ecspiQ0 !sipgper disabledserial@30860000!fsl,imx7d-uartfsl,imx6q-uartQ0 sipgper disabledserial@30890000!fsl,imx7d-uartfsl,imx6q-uartQ0 sipgper disabledserial@30880000!fsl,imx7d-uartfsl,imx6q-uartQ0 sipgperokaydefault+sai@308a0000!fsl,imx7d-saifsl,imx6sx-saiQ0 _ sbusmclk1mclk2mclk3rxtx ,, okaydefault-2=Usai@308b0000!fsl,imx7d-saifsl,imx6sx-saiQ0 ` sbusmclk1mclk2mclk3rxtx , ,  disabledsai@308c0000!fsl,imx7d-saifsl,imx6sx-saiQ0 2 sbusmclk1mclk2mclk3rxtx , ,  disabledcrypto@30900000 !fsl,sec-v4.0Q0 /0 [sZ ipgaclkjr@1000!fsl,sec-v4.0-job-ringQ ijr@2000!fsl,sec-v4.0-job-ringQ  jjr@3000!fsl,sec-v4.0-job-ringQ0 rcan@30a00000$!fsl,imx7d-flexcanfsl,imx6q-flexcanQ0 nsipgper .okaydefault/can@30a10000$!fsl,imx7d-flexcanfsl,imx6q-flexcanQ0 osipgper .okaydefault0i2c@30a20000!fsl,imx7d-i2cfsl,imx21-i2cQ0 #sokaydefault1Upmic@8default2!fsl,pfuze3000Qregulatorssw1a `(2Z /j=sw1b `( /jsw2`(: =Msw3 (-P swbstLK@(N0vsnvsB@(- vrefddr vldo1w@(2Zvldo2 5(vccsd+|(2Zv33+|(2Z=Lvldo3w@(2Zvldo4w@(2Ztemperature-sensor-eeprom@1e!nxp,se97bjedec,jc-42.4-tempQokayeeprom@50 !atmel,24c64QPD okayeeprom@56 !atmel,24c02QVDokayrtc@68!dallas,ds1339Qhtemperature-sensor@49!national,lm75QIi2c@30a30000!fsl,imx7d-i2cfsl,imx21-i2cQ0 $sokayUdefault3audio-codec@18!ti,tlv320aic32x4QsJmclkM4Z4=Vgpio-expander@20 !nxp,pca9555Q default5`p6 K:=Ri2c@30a40000!fsl,imx7d-i2cfsl,imx21-i2cQ0 %sokayUdefault7i2c@30a50000!fsl,imx7d-i2cfsl,imx21-i2cQ0 &s disabledserial@30a60000!fsl,imx7d-uartfsl,imx6q-uartQ0 sipgperokaydefault8serial@30a70000!fsl,imx7d-uartfsl,imx6q-uartQ0 sipgperokaydefault9serial@30a80000!fsl,imx7d-uartfsl,imx6q-uartQ0 sipgperokaydefault:serial@30a90000!fsl,imx7d-uartfsl,imx6q-uartQ0 ~sipgperokaydefault;eumailbox@30aa0000!fsl,imx7s-mufsl,imx6sx-muQ0 Xs disabledmailbox@30ab0000!fsl,imx7s-mufsl,imx6sx-muQ0 as disabledusb@30b10000!fsl,imx7d-usbfsl,imx27-usbQ0 +s<=okaydefault>?(4Lotgusb@30b30000!fsl,imx7d-usbfsl,imx27-usbQ0 (j@sABThsicLhostokayusbmisc@30b10200]$!fsl,imx7d-usbmiscfsl,imx6q-usbmiscQ0==usbmisc@30b30200]$!fsl,imx7d-usbmiscfsl,imx6q-usbmiscQ0=Bmmc@30b40000!!fsl,imx7d-usdhcfsl,imx6sl-usdhcQ0 sV ipgahbperjokay"defaultstate_100mhzstate_200mhzCDtED~FD G GHmmc@30b50000!!fsl,imx7d-usdhcfsl,imx6sl-usdhcQ0 sV ipgahbperj disabledmmc@30b60000!!fsl,imx7d-usdhcfsl,imx6sl-usdhcQ0 sV ipgahbperjokay"defaultstate_100mhzstate_200mhzItJ~KׄLMspi@30bb0000!fsl,imx7d-qspiQ0`QuadSPIQuadSPI-memory ks qspi_enqspi disabledsdma@30bd0000!fsl,imx7d-sdmafsl,imx35-sdmaQ0 sipgahbimx/sdma/sdma-imx7d.binokay=,ethernet@30be0000!fsl,imx7d-fecfsl,imx6sx-fecQ0int0int1int2pps0xvwy(sR*"ipgahbptpenet_clk_refenet_out .okaydefaultN ,rgmii-id 56ExOXPcmdioethernet-phy@0!ethernet-phy-ieee802.3-c22Qt  =Pdma-apbh@33000000&!fsl,imx7d-dma-apbhfsl,imx28-dma-apbhQ3 0    gpmi0gpmi1gpmi2gpmi3s=Qnand-controller@33002000!fsl,imx7d-gpmi-nandQ3 3@@gpmi-nandbch bchsgpmi_iogpmi_bch_apbQrx-tx disabled(memory@80000000EmemoryQ beeper !gpio-beeper Rgpio-keys !gpio-keysbutton-0S11 R button-1S12 Rbutton-2S13 Rgpio-leds !gpio-ledsled1led1 R default-onled2led2 R  heartbeatregulator-sd1-vmmc!regulator-fixed VCC3V3_SD12Z(2Z=Hregulator-fec1-pwdn!regulator-fixed PWDN_FEC12Z(2Z S =Oregulator-fec2-pwdn!regulator-fixed PWDN_FEC22Z(2Z Tregulator-usb-otg1-vbus!regulator-fixed VBUS_USBOTG1LK@(LK@ S=?regulator-usb-otg2-vbus!regulator-fixed VBUS_USBOTG2LK@(LK@ Sregulator-mpcie-1v5!regulator-fixed VCC1V5_MPCIE`(` R regulator-mpcie-3v3!regulator-fixed VCC3V3_MPCIE2Z(2Z R regulator-mba-12v0!regulator-fixed VCC12V0_MBA7( R regulator-lvds-transmitter!regulator-fixed #SHTDN_LVDS2Z(2Z Rregulator-vref-1v8!regulator-fixed VCC1V8_REFw@(w@ M="regulator-audio-3v3!regulator-fixed VCC3V3_AUDIO2Z(2Z=4sound!fsl,imx-audio-tlv320aic32x4imx-audio-tlv320aic32x4U$Vk0IN3_LMic JackMic JackMic BiasIN1_LLine In JackIN1_RLine In JackLine Out JackLOLLine Out JackLOR #address-cells#size-cellsmodelcompatiblestdout-pathgpio0gpio1gpio2gpio3gpio4gpio5gpio6i2c0i2c1i2c2i2c3mmc0mmc1serial0serial1serial2serial3serial4serial5serial6spi0spi1spi2spi3usb0usb1entry-methodarm,psci-suspend-paramlocal-timer-stopentry-latency-usexit-latency-usmin-residency-usphandledevice_typeregclock-frequencyclock-latencyclockscpu-idle-statescpu-supply#clock-cellsclock-output-namesclock-names#phy-cellsinterrupt-parentinterruptsinterrupt-affinityremote-endpointarm,cpu-registers-not-fw-configuredrangescpu#interrupt-cellsinterrupt-controllergpio-controller#gpio-cellsgpio-rangespinctrl-namespinctrl-0fsl,ext-reset-outputstatusfsl,input-selfsl,pins#mux-control-cellsmux-reg-masksmux-controlsregulator-nameregulator-min-microvoltregulator-max-microvoltanatop-reg-offsetanatop-vol-bit-shiftanatop-vol-bit-widthanatop-min-bit-valanatop-min-voltageanatop-max-voltageanatop-enable-bitfsl,tempmonnvmem-cellsnvmem-cell-namesregmaplinux,keycodewakeup-source#reset-cells#power-domain-cellspower-supply#io-channel-cellsvref-supply#pwm-cellspower-domainsphy-supplyresetsreset-namescs-gpiosassigned-clocksassigned-clock-parents#sound-dai-cellsdma-namesdmasassigned-clock-ratesfsl,stop-moderegulator-boot-onregulator-always-onregulator-ramp-delaypagesizeldoin-supplyiov-supplyuart-has-rtsctslinux,rs485-enabled-at-boot-timers485-rts-active-lowrs485-rx-during-tx#mbox-cellsfsl,mu-side-bfsl,usbphyfsl,usbmiscphy-clkgate-delay-usvbus-supplysrp-disablehnp-disableadp-disableover-current-active-lowdr_modephy_type#index-cellsbus-widthpinctrl-1pinctrl-2cd-gpioswp-gpiosvmmc-supplyno-1-8-vnon-removablevqmmc-supplyreg-names#dma-cellsfsl,sdma-ram-script-nameinterrupt-namesfsl,num-tx-queuesfsl,num-rx-queuesphy-modephy-reset-gpiosphy-reset-durationphy-handlefsl,magic-packetti,rx-internal-delayti,tx-internal-delayti,fifo-depthti,clk-output-seldma-channelslabellinux,codelinux,default-triggergpioenable-active-highvin-supplyssi-controlleraudio-codecaudio-routing